當前位置:
首頁 > 最新 > 半導體行業個股-北方華創002371 深度分析報告

半導體行業個股-北方華創002371 深度分析報告

投資要點:

1.公司為國內規模最大、覆蓋領域最廣、產品線最豐富的半導體裝備製造商。公司產品覆蓋除光刻機外的大部分集成電路生產核心設備。其中介質刻蝕機、硅刻蝕設備和PVD、PECVD等核心設備均已在部分國產12英寸設備生產線上實現批量應用。其中部分應用於14nm的硅刻蝕機、HM PVD 設備、單片退火設備已開始進入生產線驗證階段。

2.公司業務構成包括半導體裝備、真空裝備、新能源鋰電裝備和精密電子元器件四大產業平台,均屬於國家戰略新興產業範疇,受益於政策利好的推動,又符合時代發展的趨勢,所處行業前景較為樂觀。

3.公司產品應用領域廣泛,市場前景廣闊。公司產品廣泛應用於集成電路、半導體照明、功率器件、微機電系統、先進封裝、光伏電池、平板顯示、真空電子、新材料、鋰離子電池等領域。國家意志的推動,政策與資金的支持,構築了較強的壁壘。

4.半導體設備市場需求旺盛,進口替代空間巨大,為公司提供了歷史性的發展機遇。SEMI 預計 2016-2020年間,全球約將增加62座半導體晶圓廠,其中26座發生在中國。2018年,隨著上海華力新廠,長江存儲 3D NAND,福建晉華 DRAM 等產線的逐步建成投產,我國將迎來半導體設備採購高峰,2018年整體設備需求量有望達到100億美金。「國產化」的政策目標不斷驅動本土設備廠商的穩步發展,公司多款設備已陸續在客戶產線通過驗證,在此輪設備採購潮中公司將成為國產設備供應商主力。

5.公司是我國目前唯一IC前道工藝裝備的上市公司,具有稀缺性。2016年9月,七星電子與北方微電子完成戰略重組,重組後的北方華創微電子裝備公司成為國內唯一以高端集成電路工藝裝備為主營業務的上市平台。

6.公司完成收購美國半導體裝備公司Akrion Systems LLC(下稱「Akrion公司」),Akrion在半導體濕法清洗技術領域擁有多年的技術積累和客戶基礎,其產品主要服務於集成電路晶元製造、硅晶圓材料製造、微機電系統、先進封裝等領域。本次收購將拓展公司在清洗機設備領域的產銷體系,豐富公司清洗機設備的產品線,與公司目前清洗設備品種實現較好互補,進一步增強公司服務客戶的能力。

7.隨著半導體設備採購高峰的到來,公司財務狀況有望得到改善,根據機構預測,2018年、2019年,公司的主要財務指標如每股收益、凈利潤、凈利潤增長率、凈資產收益率等均有明顯的提高。

8.風險因素:

(1)刻蝕機領域被競爭對手趕超的風險,中微半導體已成為台積電7nm製程設備供應商,且是唯一的國產設備供應商。中微目前在全球保有約500台刻蝕機,未來將達到700台,同時將研發5nm、3nm技術。而北方華創每年研發投入金額巨大,但目前仍停留在14nm技術時代,至今也未公布新的研發計劃。

(2)雖然收購Akrion公司,但該公司已經資不抵債。根據北方華創披露的數據,截至2015年12月31日,Akrion公司資產總額為7870.89萬元,負債總計約2.74億元,其中有息負債約2.14億元。Akrion公司近兩年的營收及凈利潤也呈下降趨勢。其中,2015年度Akrion公司營收1.02億元,凈利潤為負5050.72萬元,2016年度營收8924.45萬元,凈利潤為負6062.24萬元。

(3)過度依賴政策支持與政府補貼的風險,近日公司發布公告,於2017年12月13日收到中關村科技園區管理委員會轉入的「應用於集成電路領域的300mm合金爐設備研發項目」補助資金1,016萬元。半導體設備高技術壁壘下帶動高研發投入,但也存在投入-產出不相匹配的風險,據統計,僅2016年公司收到的補助高達6.12億。

(4)當前公司盈利能力不強、估值偏高的風險,每股收益、凈利潤率、凈資產收益率等關鍵財務指標偏低;無論從PE、PB、PEG,還是從格雷厄姆的成長股估值法來看,當前公司的股價(31.58)仍略高於其內在價值。

正文:

一、公司背景介紹:

北方華創科技集團股份有限公司(以下簡稱「北方華創」)是由北京七星華創電子股份有限公司(以下簡稱「七星電子」)和北京北方微電子基地設備工藝研究中心有限責任公司 (以下簡稱「北方微電子」)戰略重組而成,是目前國內集成電路高端工藝裝備的龍頭企業。

七星電子成立於 2001年9月,2010年3月在深圳證券交易所上市,是國內唯一集成電路裝備上市公司,也是國家02科技重大專項的主要承擔單位之一,主營業務是清洗機、氧化爐、LPCVD(低氣壓化學氣相沉積)、ALD(原子層沉積)和氣體質量流量控制器(MFC)。同 時,七星電子也是國內精密電子元器件的主要供應商。

北方微電子成立於2001年10月,是由北京電控集團聯合國內知名大學和研究所共同出資成立的高端集成電路裝備業務的高科技公司。公司以生產銷售高端集成電路裝備為主業,重點發展刻蝕設備(Etch)、物理氣相沉積設備(PVD)和化學氣相沉積設備(CVD)三大類設備,廣泛應用於集成電路製造、先進封裝、半導體照明(LED)、微機電系統(MEMS)等領域。北方微電子自成立以來先後得到了科技部「十五」863集成電路製造裝備重大項目及國家02科技重大專項的研發與產業化項目的科研資助。通過十餘年的努力耕耘,已經發展成為中國具有極強競爭力的高端微電子工藝裝備製造企業,具有深厚的市場基礎和良好的客戶口碑。

重組後的北方華創秉承了七星電子和北方微電子的技術資源和研發實力,實現資源整合和優勢互補,以科技創新為基點,致力於加快推進北方華創向新型製造業的戰略轉型;致力 於成為國際領先的高端電子工藝裝備和精密電子元器件兩大基礎電子產品服務商;致力於提升人類智能生活品質;致力於實現中國「智造強國」的夢想藍圖。

目前北方華創擁有半導體裝備、真空裝備、新能源鋰電裝備及精密元器件四個事業群,為半導體、新能源、新材料等領域提供全方位整體解決方案。公司現有四大產業製造基地, 營銷服務體系覆蓋歐、美、亞等全球主要國家和地區。

二、所屬行業分析:

1、全球半導體行業高景氣度有望延續

國際半導體產業協會(SEMI)公布2017年12月北美半導體設備出貨金額達23.9億美元,月增16.3%、年增27.7%,創下近17年來新高。去年全球半導體設備商出貨金額達到560億美元,年增40%,創歷史新高。

SEMI表示,隨著中國大陸晶圓廠產能持續開出,今年半導體設備需求將有增無減,預期全球半導體設備支出金額將持續成長,上看630億美元,可望再寫新高,較去年成長11%。

半導體設備銷售是觀察半導體景氣榮枯重要指針,隨半導體設備金額增長,也意謂晶圓製程看好未來訂單成長,擴大產能及設備資本支出。

北美半導體設備去年12月出貨金額創17年新高,在半導體組件新應用出現浪潮下,今年半導體景氣熱度將更甚去年。

SEMI稍早發布去年半導體產值首度突破4000億美元,年增20%,產值和增幅同創歷史紀錄,設備和材料廠也同歡。 SEMI看好成長可延續至2019年,預估2019年半導體產值將達5000億美元,半導體設備和材料產值也將再創連四年成長的紀綠。

SEMI預估,今年相關晶圓廠建廠支出將達130億美元,新晶圓廠建置完成後,2019年、2020年設備支出會很可觀。今年設備採購金額將由去年的560億美元增至630億美元。

2、半導體設備市場格局穩中有變,中國市場需求量將持續增加

半導體生產設備是半導體產業的核心,有著極高的技術含量,半導體製造企業一個很大的支出就是購買半導體設備。2016年,全球的半導體支出679.94億美元,同比增速5.01%,幾乎每年有超過60%的支出是用來購買半導體設備。

SEMI預估,今年全球半導體設備金額將增加高個位數百分比。由於中國大陸大幅擴建新晶圓廠,今年大陸半導體前後段設備市場可能超過台灣市場,但因大陸晶圓廠投資大多來自外來廠商,也有不少台廠,因此無損台灣業者的競爭力。

SEMI統計韓國去年將首次擠下台灣,成為全球最大的設備市場。台灣讓出連續五年蟬聯龍頭的寶座,退居第二,中國大陸為第三,今年台灣恐再被大陸超越、退居第三。SEMI統計,去年僅東南亞為主的其他地區例外,其他各區域的半導體設備銷售大多成長,韓國年增率逾倍,是增幅最大地區,其次是歐洲與日本地區。

SEMI表示,韓國超越台灣,主因三星、SK海力士持續擴建儲存型快閃記憶體(NAND Flash)產能及DRAM製程升級,加上三星在邏輯晶元製程向7nm等先進位程推動,大手筆採購價格昂貴的極紫外光(EUV)設備, 爭食代工訂單企圖心旺盛。值得注意的是,SEMI預估,大陸今年是全球半導體設備銷售金額增幅最大的地區,增幅估近五成、達113億美元,韓國蟬聯第一,大陸躍居第二大,台灣排名第三。

中國半導體產業的銷售和需求多年來一直穩步上升,並且銷售額和需求額將持續保持10%和5%以上的增長率,且中國半導體需求佔全球比重亦不斷上升,從2003年的18.5%到2014年的56.6%,中國已經成為世界上最大的半導體消費國。加上智能手機、人工智慧的爆發,下游龐大的需求效應將有望帶動半導體新一輪的產業轉移。我國的晶圓產能佔比不足10%,與世界最大的半導體需求量嚴重脫節,國務院發布的《中國製造2015》明確規定到2020年中國的晶圓自給率要提高至40%,上千億的大基金有近60%將投資於半導體製造行業,帶動了中芯國際、中芯北方、長江存儲等一大批知名半導體製造企業的崛起和發展。

半導體設備中以晶圓製造設備為主,晶圓製造設備主要包括離子注入機、光刻機、薄膜沉積設備、曝光顯影機、PVD、CVD等等,其中以光刻機的技術難度最高,尤其是高精度光刻機,主要被荷蘭的ASML壟斷。半導體設備巨頭ASML曾花費30年,耗資九十億歐元研發EUV光刻機,如此巨額的投入使得一般的半導體企業望而卻步。晶圓製造是半導體產業鏈中最重要也是最複雜的部分,晶圓製造設備支出佔比也是半導體設備支出中最高的。因此,半導體產業向大陸轉移將帶動大規模的晶圓製造設備市場。國際半導體設備材料協會SEMI研究表明,2017-2020年間全球投產的62座晶圓廠,26座來自中國大陸。隨著半導體製造產業重心的轉移,大陸半導體設備市場重要性已經顯現。北方華創作為國產半導體設備龍頭,有望受益國產市場需求快速增長帶來的業績成長。

3、政策與資金保駕護航,加快推動中國半導體產業發展

半導體是國家信息產業的根基,是信息安全的基礎。近年來,中國政府非常重視半導體產業的發展,將半導體提升到國家戰略的高度,在政策和資金上給予大力支持。

集成電路產業投資規模大、回報周期長、規模效應明顯,上述特徵意味著需要國家層面進行集中投資建設。因此,國家層面的政策支持對產業發展至關主要。

為推動集成電路及專用裝備的發展,2000年以來國家先後出台了多項支持產業發展的文件,其中包括《國務院關於印發鼓勵軟體產業和集成電路產業發展若干政策的通知》和《國務院關於印發進一步鼓勵軟體產業和集成電路產業發展若干政策的通知》等。但具有拐點意義的,是2014 年6月發布的《國家集成電路發展推進綱要》,綱要明確提出產業發展目標:中國集成電路產業2030年前躋身全球領先陣營,在IC製造領域也提出在2020年實現16/14 納米規模化量產目標。

此後,為推動「集成電路及專用裝備」領域突破發展,實現《中國製造2025》的戰略目標,工業和信息化部將會同相關部門在相關方面的支持力度顯著增強:加強集成電路產業發展的頂層設計、統籌協調; 引導國家集成電路產業投資基金的實施,支持有條件的產 業集聚區設立地方性集成電路產業投資基金,加大金融支持力度,解決產業資金和人才瓶頸;加快提升自主創新能力,解決技術及裝備瓶頸;解決政策落實問題;五是引導集成電路企業的兼并重組和資源整合,鼓勵企業「走出去」,大力吸引國(境)外資金、技術和人才,提高創新發展起點。

在資金方面,大基金帶動地方基金大力支持,總規模已超過6500億元。2014年,為促進我國集成電路產業發展,國家集成電路產業投資基金由中央財政、國開金融、中國煙草、亦庄國投、中國移動、上海國盛、中國電子、中國電科、紫光通信、華芯投資等共同發起設立。

大基金原計劃首期募集資金1200億元,實際募集資金達到了1387.2億元,經過3年的運作,截至 2017年9月,大基金累計決策投資55個項目,涉及40家集成電路企業,共承諾出資1003億元,承諾投資額占首期募集資金的72%,實際出資 653 億元,也達到首期募集資金的將近一半。

在大基金之後,各地相繼成立相關的半導體行業投資基金,加碼投資集成電路等半導體相關產業。截止 2017年6月,地方投資基金的規模包括籌建中已達5145億元。

4、中國半導體市場供需矛盾突出,「進口替代」空間巨大

中國半導體設備的龐大需求與國產半導體設備的銷售是及其不匹配的。長期以來諸多因素影響限制我國半導體設備產業發展,如關鍵零部件受制於人,缺乏設備推廣市場且驗證效率低,廠商技術分散等。

2001-2016年間,我國集成電路市場規模由1260億元增加至近12000億元。依照美國半導體產業協會統計數據,如果僅考慮設計和IDM企業,2017年1-2月中國集成電路市場規模佔比超過33%,為全球第一大集成電路市場。如果考慮到代工和封測企業,國內集成電路市場在全球佔比約為50%,是全球集成電路主要市場。

儘管近十餘年來全球集成電路市場步入成熟發展階段,增速有所放緩,但我國集成電路市場規模卻在以智能手機、汽車電子等為代表的新興應用場景擴張而迅速擴大。且隨著國內 5G 通信、物聯網等前沿應用領域快速成熟,國內集成電路市場需求將進一步提升。

與國內迅速膨脹的集成電路市場需求形成鮮明對比的是,我國集成電路需求中很大比例仍需依靠進口來滿足。2016年國內集成電路市場規模接近12000億元,而國內產業銷售額僅為4335億元,自給率不足40%。

隨著雲計算、大數據、物聯網等領域的逐步成熟,未來數年國內集成電路市場仍將保持穩定增長。國內快速膨脹的集成電路需求,使得加速實現集成電路產品的進口替代顯得尤為迫切。

儘管我國已是全球最大的集成電路市場,且持續膨脹的需求推動下國內產業發展迅速,但當前時點產業整體差距仍較為明顯。以2016年發布的全球集成電路銷售額20強榜單來看,大陸企業無一上榜。

值得注意的是,儘管2016年中國集成電路產業銷售額達到4335.5億元,同比增長20.1%。

但這個4335.5億元銷售額是包括了在華外資企業的。2016年中國集成電路高度依賴進口,進口額高達2271億美元,超過石油進口額。以上游晶圓製造為例,據測算中國消耗晶圓片數量超過9299萬片/年,中國區域產量 2218萬片/年,自給率僅14%,缺口達86%,其中屬於中國本土企業供給佔比更少。

2014年6月國務院頒布《國家集成電路產業發展推進綱要》明確提出,到2020年,集成電路產業與國際先進水平的差距逐步縮小,全行業銷售收入年均增速超過20%,16/14nm製造工藝實現規模量產,封裝測試技術達到國際領先水平,關鍵裝備和材料進入國際採購體系,基本建成技術先進、安全可靠的集成電路產業體系;2015年發布的國家10年戰略計劃《中國製造 2025》則提出,2020年中國晶元自給率要達到 40%,2025年要達到 70%。

三、公司產品競爭能力分析

1、國產半導體設備領先陣營

作為中國唯一高端集成電路製造裝備上市平台,北方華創是目前中國規模最大、涉獵領域最廣、產品最全的半導體裝備製造商,在一定程度上緩解了廠商技術分散的產業矛盾。同時,作為國家「01 專項」,「02 專項」重要項目承擔單位,在國家政策的大力支持下,中芯國際作為重要的項目驗證單位,與北方華創的長期合作為其設備產品的產線驗證做出貢獻,這一點再次緩解了我國設備業者缺乏設備驗證市場的疑慮。

2016年,七星電子通過向國家集成電路基金,京國瑞基金及芯動能基金非公開發行股份募集 9.24 億元,完成與北方微電子的重組並於 2017年2月更名北方華創。募集資金用於北方微電子「微電子裝備擴產項目」建設並補充上市公司流動資金。目前,總投資 5.73 億元的「微電子裝備擴產項目」建造工程順利進行,將於 2017 年底達到搬入條件,該項目投入使用後將形成年產刻蝕機裝備54台,PVD 裝備25台,CVD裝備5台,立式爐裝備6 台,清洗機裝備10台,ALD 裝備2台的生產能力。根據公司項目投資收益分析,該項目達產年平均利潤總額為 1.9 億元,全部投資的財務內部收益率為 22.76%,投資回收期為6.69 年(含建設周期)。預計該項目2018年正式投入使用後,將有效緩解由於下游需求旺盛帶來的潛在產能壓力,並為公司加強產品研發,豐富產品結構創造條件。

2017年5月23 日,《極大規模集成電路製造技術及成套工藝》項目(02 專項)成果發布,北方華創作為國家02重大科技專項重點承擔單位,承擔了重大專項多項課題的科研任務,經過九年攻關,完成了刻蝕機、PVD、氧化爐、低壓化學氣相沉積、清洗機、原子層沉積等集成電路設備90/55/40/28 納米工藝驗證,並實現產業化;刻蝕機、單片退火系統、化學氣相沉積三大類集成電路設備也進入14納米工藝驗證階段,首次實現與國外設備同步驗證。

隨著16/14納米及以下的FinFET 技術發展,北方華創將迎來多次曝光技術帶來設備技術和設備數量的需求,3D NAND快閃記憶體技術所帶來的設備需求以及3D封裝技術對晶圓級封裝設備的需求。基於此,北方華創在02專項的支持下,根據客戶需求進行針對性布局。隨著產品種類豐富及應用領域拓寬,北方華創將有能力進一步滿足客戶多樣化的工藝需求,並在適應性與靈活性上與國際對手競爭,獲得相對的本土競爭優勢。

2.公司設備陸續進入產線

在集成電路製造領域,由公司自主研發的14納米等離子硅刻蝕機、單片退火系統、低壓化學氣相沉積(LPCVD)設備已成功進入集成電路主流代工廠;28 納米 Hardmask PVD、Al-PadPVD 設備已率先進入國際供應鏈體系;12 英寸清洗機累計流片量已突破60萬片大關;深硅刻蝕設備也進入東南亞市場。

國內中芯國際、武漢新芯、上海華力等公司主要客戶將成為未來三年國內主要設備購買方,隨著北方華創技術研發實力的進一步提升及產線驗證的逐步完成,公司在相關客戶的產線設備佔比將進一步提升。

北方華創的 PVD 機台已進入台灣聯電、力晶產線,再次證明公司產品經過多年攻關已經在技術上得到突破,同時台灣廠商向大陸的產線轉移將為公司業績帶來新的成長動力。隨著「存儲器國產化」國家戰略的推進,長江存儲,晉華集成電路以及合肥長鑫三地齊發,將於2018年完成廠房建設,並且已向國外設備大廠下達訂單,各存儲器項目整體設備訂單可見度高,公司將有機會率先導入部分清洗、爐管設備並向其他製程設備推進。

在先進封裝領域,北方華創開發的硅通孔(TSV)刻蝕機和物理氣相沉積(PVD)設備已在全球前十大封裝企業中得到了廣泛應用,其中PVD機台已成為全球排名前三的CIS封裝企業的首選機台;同時應用於凸塊(Bumping)製程的清洗(Descum)設備也加速滲透。隨著前段製造產能的擴張,後段封裝廠的擴產行為將同樣刺激公司產品需求。在化合物半導體領域,北方華創定製開發了化合物半導體刻蝕機、PECVD 系統、槽式清洗系統和卧式擴散/氧化系統,為客戶提供全面的設備及工藝解決方案。

3.公司產品線豐富,部分產品市佔率高

公司預計2017年度與京東方發生不超過2.5億的設備交易,公司為京東方提供UV固化爐、清洗機和移載設備等,是公司半導體集成電路工藝技術在TFT領域的產品延伸,屬於公司半導體裝備業務板塊,2015 年公司對京東方的銷售金額為 3509.89 萬元;2016 年,公司對京東方的銷售金額為8589.12萬元,隨著京東方等新建面板產線的建成投產,北方華創在 TFT 領域的設備銷售有望維持高位水平。

同時,北方華創通過與國顯光電等客戶的合作,積极參与新型顯示OLED的產業化進展並已實現設備銷售。2018年國內本土新建產線將逐漸建成,在前期產品已被主要業者驗證通過,政府「設備國產化」的政策激勵下,北方華創將在相關新增產線實現更多產品銷售及個別產品的逐步替代。在《國家集成電路產業發展推進綱要》中 30%設備國產化目標的產業要求下,北方華創將作為國內設備龍頭優先受益。

在LED設備領域,公司為京東方、三安光電、華燦光電等知名企業供應商,北方華創的氮化鎵刻蝕機在2014-2016連續三年新增市場佔有率達到 80%以上,出貨量全球第一;PSS 刻蝕機成為全球主流PSS廠商的首選機台;AIN緩衝層濺射設備作為LED領域的一個里程碑的產品,目前市場佔有率第一;EPEE550系列PECVD面市以來同樣取得80%以上新增市場佔有率。

在光伏設備領域,公司為單晶龍頭隆基股份供應商,北方華創作為國內最大的單晶爐製造企業之一,為全球產能領先的單晶硅材料製造商客戶提供了絕大部分產能供應。隨著國內光伏行業的逐漸回暖,以及高端材料和新材料的發展,真空設備的市場空間進一步增大。目前,公司已取得超過10億元的氧化爐設備訂單,據了解,該批訂單將分批在三年內為客戶供貨,構成真空設備的主要銷售來源。

四、公司經營與盈利能力分析

2017年前三季度,公司實現營業收入15.5 億元,同比增長49.25%,歸母凈利潤8026 萬元,同比增長27.86%,處於業績預告6277-8161萬元(增長0-30%)上限水平。其中2017 年第三季度,公司實現營業收入5.04 億元,同比增長50.04%;歸母凈利潤為2275萬元,同比增長24.19%。公司給出2017 年全年業績預告1.02-1.3 億元,同比去年增長10-40%。

(主要財務指標情況)

(公司主要業務拆分收入情況)

在四大業務體系中,電子元器件及半導體設備業務毛利水平較高,且隨著電子元器件產品結構的調整及半導體設備銷售量的增加,公司毛利水平仍有提升空間。真空設備及鋰電設備的毛利水平較低,對公司整體毛利水平有一定稀釋效應,但隨著營收佔比的相應減少,公司整體毛利將逐漸回到40%左右水平。

根據對公司各業務領域行業景氣度及主要客戶成長情況的分析,做出如下業務拆分:

(1)電子元器件穩定在10%的銷售成長率,毛利率在產品結構優化的過程中得以提升;

(2)真空裝備領域,基於目前公司超12億元的在手訂單情況,預設訂單將在2017-2019 年分批執行,由於公司供給隆基的產品主要氧化爐爐體,毛利水平有所下降;

(3)鋰電裝備領域,公司客戶受益於下游鋰電產品的旺盛需求,設備需求量將維持高位,基於客戶議價能力因素,預計毛利水平微幅下降;

(4)公司半導體設備業務直接受益於國內半導體設備爆發性增長市場,成為公司未來最大看點,半導體設備銷售具備明顯訂單驅動效應。

在LED客戶三安光電,華燦光電的擴產行為驅動下,預計2017年、2018年將是北方華創氮化鎵刻蝕機PSS刻蝕機以及 AIN 緩衝層濺射設備等將迎來出貨高峰。

主要面板設備客戶京東方的產線擴張再次刺激相關面板設備,目前公司已有2.5億元京東方在手訂單,主要為 UV 固化爐、清洗機等設備,並將在 2017-2018年分批執行。

封裝廠的技術升級以及相應產線的擴產行為再次刺激相關設備需求。

中芯國際、上海華力、長江存儲等多條國內新建半導體產線是驅動北方華創設備銷售的最大驅動因素。基於各產線的生產製程及投產進度的分析,對北方華創產品在相應產線的產品滲透率進行了假設及敏感性分析。預計中芯國際在2017-2019年間仍是北方華創IC製造設備的第一大客戶,銷售佔比 40-50%;上海華力在2018年新增產線建成後,將成為公司另一主要客戶。值得注意的是,由於公司 PVD 機台已進入台灣聯電、力晶產線,北方華創對其銷售將實現「從無到有」的突破。

財務狀況方面,每股收益、凈利潤率、凈增持收益率、自由現金流等關鍵指標偏低;公司估值方面,目前機構測算2017、2018、2019年每股收益均值分別為0.31、0.57、0.82,凈利潤增長率均值分別為51%、97%、45%,估值方面,當前公司估值相對偏高,但根據機構對公司業績的預測,未來PE有進一步走低的趨勢。

五、風險因素分析

1、設備領域技術風險

(1)目前,集成電路技術發展的趨勢是晶元線寬縮小化、矽片尺寸擴大化。在集成電路製造設備方面,美國、日本設備廠商能夠提供12英寸90納米晶元生產線所需的集成電路製造設備。相比發達國家,目前中國集成電路製造設備的技術水平與其有較大差距。目前公司集成電路裝備產業化技術水平處於28nm技術代,下一代16/14nmFinFET設備處於研發階段,與國際先進技術水平尚存一定的差距,處於加速追趕態勢。

(2)集成電路設備技術研發支出較大,驗證周期較長,導致新產品、新技術的研發及產業化均存在一定風險。未來發展如果不能持續研發投入,保持目前行業領先地位,跟上產品更新換代的變化,就有可能因技術和產品落後而產生經營風險。

2、行業周期波動的風險

集成電路製造設備行業隨著集成電路行業的周期波動而同步波動的趨勢較強。世界半導體貿易統計協會的統計表明,從1990年開始,集成電路行業基本上以五年為一個發展周期,呈現比較明顯的周期性波動。2000年集成電路製造設備產業經歷了一個高速成長期,之後出現大的衰退,2002年跌入1995年以來的谷底,2004年世界集成電路製造設備產業銷售額出現跳躍式增長,比上年增長 67.1%,但 2005 年銷售額又再次下降,同比減少 11.3%,2006 年、2007 年進入恢復增長期,而到了2008年因全球金融危機影響產業銷售額又再次下降。從全球範圍來看,集成電路行業五年的周期性較明顯。

3、過渡依賴政策與補貼,大而不強、被競爭對手趕超的風險

由於提升半導體產業的全球競爭力已經是我國國家戰略,正因如此,公司受政策傾斜力度較強,從而有可能導致效率低下、大而不強,進而導致被競爭對手趕超的風險,據了解,中微半導體已成為台積電7nm製程設備供應商,且是唯一的國產設備供應商。中微目前在全球保有約500台刻蝕機,未來將達到700台,同時將研發5nm、3nm技術。而北方華創每年研發投入金額巨大,但目前仍停留在14nm技術代,至今也未公布新的研發計劃。

此外,近日公司發布公告,於2017年12月13日收到中關村科技園區管理委員會轉入的「應用於集成電路領域的300mm合金爐設備研發項目」補助資金1,016萬元。半導體設備高技術壁壘下帶動高研發投入,但也存在投入-產出不相匹配的風險,據統計,僅2016年公司收到的補助高達6.12億。

4、公司業務相對分散、產品線豐富既是優點,也是缺點。缺點在於重心不突出、拳頭產品不明顯。


喜歡這篇文章嗎?立刻分享出去讓更多人知道吧!

本站內容充實豐富,博大精深,小編精選每日熱門資訊,隨時更新,點擊「搶先收到最新資訊」瀏覽吧!


請您繼續閱讀更多來自 全球大搜羅 的精彩文章:

這世上有兩種女人:一種想要的權力在天下;另一種想要的權力在床上
說話之道,在於互換立場

TAG:全球大搜羅 |