當前位置:
首頁 > 最新 > 2018,EUV量產衝刺

2018,EUV量產衝刺

作為象徵下一代半導體光刻技術的EUV(極紫外光光刻設備)自問世以來,關於其能否量產始終在市場上飽受爭議。事實勝於雄辯。ASML在2017年初收穫的數十億歐元EUV設備訂單的消息,響亮地擊破了這些質疑,讓那些「EUV永遠不可能用於晶元製造」的懷疑論者集體啞火。

巨額訂單既是對ASML的充分肯定,同時也意味著我們對半導體行業要肩負起更加深遠的責任。全球頂尖的晶元製造商正在緊鑼密鼓地計劃著產品的更迭換代,他們寄希望於ASML的工程團隊儘快突破技術難關,儘早製造和輸出大批量的EUV設備。

EUV必須從R&D實驗室「畢業」,投身到工廠開展「工作」!

在2017年開始的數月里,ASML數以千計的工程師全情投入,取得了一個又一個里程碑式的突破。

首先,全尺寸無缺陷EUV光罩保護薄膜得以製成。這種保護薄膜比家用的保鮮膜要薄一千倍,在業內一直被認為是無法實現生產的。但在2017下半年,ASML團隊已證實全尺寸無缺陷的EUV光罩保護薄膜製造成功,且該薄膜已通過一系列測試,表明可承受大批量晶元生產所帶來的熱量和機械應力。

年中,我們完成了第二個突破。在ASML荷蘭Veldhoven工廠,EUV系統實現了每小時125片的晶圓產量。單位時間內晶圓產量的提升,意味著單台EUV可生產更多的晶元,這是評估EUV商業價值時被密切關注的一個指標。

在EUV項目初期就參與其中的ASML EUV服務和產品營銷副總裁Hans Meiling分享說:

第一台EUV原型需要超過21個小時才能曝光完成一片完整的晶圓,現在每小時就能完成125片晶圓的產量,這意味著目前EUV系統的運行速度已提高了2,600倍。

事實上,推動高產量的「背後英雄」是EUV光源的突破。ASML San Diego實驗室研究多年,已找到更加明亮的EUV光源來提供加速曝光晶圓所需要的能量。

ASML首席科學家Alex Schafgans說到:

我們並沒有按照原本規劃的方案走,之前我們計劃輸出更為強力的激光射線,但取而代之的,我們探索出如何更有效地將等離子體轉換為EUV光源。

2017取得的技術突破還包括更好的光學器件、全新的照明系統以及新感測器的使用。這一切都奠定了最先進EUV系統NXE:3400B的生產。2017 上半年,第一台NXE:3400B正式發貨,運向ASML的邏輯晶元客戶。

這台最新的EUV設備更好地改進了EUV和浸潤式系統的匹配屬性,這也是2017年收穫的另一里程碑。由於晶元製造商們計劃將EUV和浸潤式系統聯合應用於晶元上最具挑戰性的工藝步驟,所以這兩個系統上的成像位置必須非常匹配,以便將一個系統的圖層精準地套刻在另一個系統的圖層上。ASML最新的NXE:3400B和NXT:2000i已實現1.9納米的套刻精度。

與此同時,ASML的客戶支持和製造團隊也在不斷提高系統構建、運輸、安裝和後續服務的能力,以配合實現EUV設備出貨量的提高。2017年,ASML實現10台EUV的出貨量,而每台EUV的規格都好比一輛公交車的大小。2018年,我們預計EUV的出貨量將提高至22台。

客戶的主要工廠周邊,我們會設立辦公室,配備優質的工程師,掌握最新的產品和技術,為客戶提供EUV系統的全方位支持。


喜歡這篇文章嗎?立刻分享出去讓更多人知道吧!

本站內容充實豐富,博大精深,小編精選每日熱門資訊,隨時更新,點擊「搶先收到最新資訊」瀏覽吧!


請您繼續閱讀更多來自 ASML阿斯麥光刻 的精彩文章:

TAG:ASML阿斯麥光刻 |