當前位置:
首頁 > 最新 > 國產晶元產業究竟如何,這篇分析最全!

國產晶元產業究竟如何,這篇分析最全!

第920期推文

導語:2017半導體產業市場規模突破4000億美元,存儲晶元是主要動力。

本文內容來光大證券,作者光大電子楊明輝團隊。

摘要

周期性波動向上,市場規模超4000億美元

半導體是電子產品的核心,信息產業的基石。半導體行業因具有下游應用廣泛、生產技術工序多、產品種類多、技術更新換代快、投資高風險大等特點,產業鏈從集成化到垂直化分工越來越明確,並經歷了兩次空間上的產業轉移。全球半導體行業大致以4-6年為一個周期,景氣周期與宏觀經濟、下游應用需求以及自身產能庫存等因素密切相關。2017半導體產業市場規模突破4000億美元,存儲晶元是主要動力。

供需變化漲價蔓延,創新應用驅動景氣周期持續

半導體本輪漲價的根本原因為供需變化,並沿產業鏈傳導,漲價是否持續還是看供需,NAND隨著產能釋放價格有所降低,DRAM、矽片產能仍吃緊漲價有望持續。展望未來,隨著物聯網、區塊鏈、汽車電子、5G、AR/VR及AI等多項創新應用發展,半導體行業有望保持高景氣度。

提高自給率迫在眉睫,大國戰略推動產業發展

國內半導體市場接近全球的三分之一,但國內半導體自給率水平非常低,特別是核心晶元極度缺乏,國產佔有率都幾乎為零。晶元關乎到國家安全,國產化迫在眉睫。2014年《國家集成電路產業發展推進綱要》將半導體產業新技術研發提升至國家戰略高度。大基金首期投資成果顯著,撬動了地方產業基金達5000億元,目前大基金二期募資已經啟動,募集金額將超過一期,推動國內半導體產業發展。

大陸設計製造封測崛起,材料設備重點突破

經過多年的發展,國內半導體生態逐漸建成,設計製造封測三業發展日趨均衡。設計業:雖然收購受限,但自主發展迅速,群雄並起,海思展訊進入全球前十。製造業:晶圓製造產業向大陸轉移,大陸12寸晶圓廠產能爆發。代工方面,雖然與國際巨頭相比,追趕仍需較長時間,但中芯國際28nm製程已突破,14nm加快研發中;存儲方面,長江存儲、晉華集成、合肥長鑫三大存儲項目穩步推進。封測業:國內封測三強進入第一梯隊,搶先布局先進封裝。設備:國產半導體設備銷售快速穩步增長,多種產品實現從無到有的突破,星星之火等待燎原。材料:國內廠商在小尺寸矽片、光刻膠、CMP材料、濺射靶材等領域已初有成效;大尺寸矽片國產化指日可待。

1、周期性波動向上,市場規模超4000億美元

1.1、半導體是電子產品的核心,信息產業的基石

從晶體管誕生,再到集成電路

計算機的基礎是1和0,有了1和0,就像數學有了10個數字,語言有了26個字母,人類基因有了AGCT,通過編碼和邏輯運算等便可以表示世間萬物。1946年的第一台計算機是通過真空管實現了1和0,共使用了18800個真空管,大約是一間半的教室大,六隻大象重。

通過在半導體材料里摻入不同元素,1947年在美國貝爾實驗室製造出全球第一個晶體管。晶體管同樣可以實現真空管的功能,且體積比電子管縮小了許多,用電子管做的有幾間屋子大的計算機,用晶體管已縮小為幾個機櫃了。

把一個電路中所需的晶體管、電阻、電容和電感等元件及布線互連一起,製作在一小塊或幾小塊半導體晶片或介質基片上,然後封裝在一個管殼內,成為具有所需電路功能的微型結構,這便是集成電路,也叫做晶元和IC。集成電路中所有元件在結構上已組成一個整體,使電子元件向著微小型化、低功耗、智能化和高可靠性方面邁進了一大步。

集成電路發明者為傑克·基爾比(基於鍺(Ge)的集成電路)和羅伯特·諾伊思(基於硅(Si)的集成電路)。當今半導體工業大多數應用的是基於硅的集成電路。

1965年,戈登·摩爾(GordonMoore)預測未來一個晶元上的晶體管數量大約每18個月翻一倍(至今依然基本適用),這便是著名的摩爾定律誕生。1968年7月,羅伯特·諾伊斯和戈登·摩爾從仙童(Fairchild)半導體公司辭職,創立了一個新的企業,即英特爾公司,英文名Intel為「集成電子設備(integratedelectronics)」的縮寫。

電子產品的核心,信息產業的基石

以智能手機為例,諸如驍龍、麒麟、蘋果A系列CPU為微元件,手機基帶晶元和射頻晶元是邏輯IC;通常所說的2G或者4G運行內存RAM為DRAM,16G或者64G存儲空間為NANDflash;音視頻多媒體晶元為模擬IC。以上這些統統是屬於半導體的範疇。

半導體位於電子行業的中游,上游是電子材料和設備。半導體和被動元件以及模組器件通過集成電路板連接,構成了智能手機、PC等電子產品的核心部件,承擔信息的載體和傳輸功能,成為信息化社會的基石。

半導體主要分為集成電路和半導體分立器件。半導體分立器件包括半導體二極體、三極體等分立器件以及光電子器件和感測器等。

集成電路可分為數字電路、模擬電路。一切的感知:圖像,聲音,觸感,溫度,濕度等等都可以歸到模擬世界當中。很自然的,工作內容與之相關的晶元被稱作模擬晶元。除此之外,一些我們無法感知,但客觀存在的模擬信號處理晶元,比如微波,電信號處理晶元等等,也被歸類到模擬範疇之中。比較經典的模擬電路有射頻晶元、指紋識別晶元以及電源管理晶元等。數字晶元包含微元件(CPU、GPU、MCU、DSP等),存儲器(DRAM、NANDFlash、NORFlash)和邏輯IC(手機基帶、乙太網晶元等)。

1.2、集成電路工序多、種類多、換代快、投資大

簡單的講,電子製造產業包括:原材料砂子-矽片製造-晶圓製造-封裝測試-基板互聯-儀器設備組裝。集成電路產業鏈主要為設計、製造、封測以及上游的材料和設備。

集成電路產業主要有以下特徵:製造工序多、產品種類多、技術換代快、投資大風險高。

生產工序多:核心產業鏈流程可以簡單描述為:IC設計公司根據下游戶(系統廠商)的需求設計晶元,然後交給晶圓代工廠進行製造,這些IC製造公司主要的任務就是把IC設計公司設計好的電路圖移植到硅晶圓製造公司製造好的晶圓上。完成後的晶圓再送往下游的IC封測廠,由封裝測試廠進行封裝測試,最後將性能良好的IC產品出售給系統廠商。

具體來說,可以細分為以下環節:

>IC設計:根據客戶要求設計晶元

IC設計可分成幾個步驟,依序為:規格制定邏輯設計電路布局布局後模擬光罩製作。規格制定:品牌廠或白牌廠的工程師和IC設計工程師接觸,提出要求;邏輯設計:IC設計工程師完成邏輯設計圖;電路布局:將邏輯設計圖轉化成電路圖;布局後模擬:經由軟體測試,看是否符合規格制定要求;光罩製作:將電路製作成一片片的光罩,完成後的光罩即送往IC製造公司。

>IC製造:將光罩上的電路圖轉移到晶圓上

IC製造的流程較為複雜,過程與傳統相片的製造過程有一定相似主要步驟包括:薄膜光刻顯影蝕刻光阻去除。薄膜製備:在晶圓片表面上生長數層材質不同,厚度不同的薄膜;光刻:將掩膜板上的圖形複製到矽片上。光刻的成本約為整個矽片製造工藝的1/3,耗費時間約佔整個矽片工藝的40~60%;

>IC封測:封裝和測試

封裝的流程大致如下:切割黏貼切割焊接模封。切割:將IC製造公司生產的晶圓切割成長方形的IC;黏貼:把IC黏貼到PCB上;焊接:將IC的接腳焊接到PCB上,使其與PCB相容;模封:將接腳模封起來;

產品種類多。從技術複雜度和應用廣度來看,集成電路主要可以分為高端通用和專用集成電路兩大類。高端通用集成電路的技術複雜度高、標準統一、通用性強,具有量大面廣的特徵。它主要包括處理器、存儲器,以及FPGA(現場可編程門陣列)、AD/DA(模數/數模轉換)等。專用集成電路是針對特定系統需求設計的集成電路,通用性不強。每種專用集成電路都屬於一類細分市場,例如,通信設備需要高頻大容量數據交換晶元等專用晶元;汽車電子需要輔助駕駛系統晶元、視覺感測和圖像處理晶元,以及未來的無人駕駛晶元等。

技術更新換代快。根據摩爾定律:當價格不變時,集成電路上可容納的元器件數目,約每隔18-24個月便會增加一倍,性能也將提升一倍,從而要求集成電路尺寸不斷變小。

晶元的製程就是用來表徵集成電路尺寸的大小的一個參數,隨著摩爾定律發展,製程從0.5微米、0.35微米、0.25微米、0.18微米、0.15微米、0.13微米、90納米、65納米、45納米、32納米、28納米、22納米、14納米,一直發展到現在的10納米、7納米、5納米。目前,28nm是傳統製程和先進位程的分界點。

以台積電為例,晶圓製造的製程每隔幾年便會更新換代一次。近幾年來換代周期縮短,台積電2017年10nm已經量產,7nm將於今年量產。蘋果iPhoneX用的便是台積電10nm工藝。除了晶圓製造技術更新換代外,其下游的封測技術也不斷隨之發展。

除了製程,建設晶圓製造產線還需要事先確定一個參數,即所需用的矽片尺寸。矽片根據其直徑分為6寸(150mm)、8寸(200mm)、12寸(300mm)等類型,目前高端市場12寸為主流,中低端市場則一般採用8寸。晶圓製造產線的製程和矽片尺寸這兩個參數一旦確定下來一般無法更改,因為如果要改建,則投資規模相當於新建一條產線。

投資大風險高。根據《集成電路設計業的發展思路和政策建議》,通常情況下,一款28nm晶元設計的研發投入約1億元~2億元,14nm晶元約2億元~3億元,研發周期約1~2年。對比來看,集成電路設計門檻顯著高於互聯網產品研發門檻。互聯網創業企業的A輪融資金額多在幾百萬元量級,集成電路的設計成本要達到億元量級。但是,相比集成電路製造,設計的進入門檻又很低,一條28nm工藝集成電路生產線的投資額約50億美元,20nm工藝生產線高達100億美元。

集成電路設計存在技術和市場兩方面的不確定性。一是流片失敗的技術風險,即晶元樣品無法通過測試或達不到預期性能。對於產品線尚不豐富的初創設計企業,一顆晶元流片失敗就可能導致企業破產。二是市場風險,晶元雖然生產出來,但沒有猜對市場需求,銷量達不到盈虧平衡點。對於獨立的集成電路設計企業而言,市場風險比技術風險更大。對於依託整機系統企業的集成電路設計企業而言,晶元設計的需求相對明確,市場風險相對較小。

1.3、全球半導體產業轉移與產業鏈變遷

半導體行業因具有下游應用廣泛,生產技術工序多、產品種類多、技術更新換代快、投資高風險大等特點,疊加下游應用市場的不斷興起,半導體產業鏈從集成化到垂直化分工越來越明確,並經歷了兩次空間上的產業轉移。

1.起源,美國,垂直整合模式

1950s,半導體行業於起源於美國,主要由系統廠商主導。全球半導體產業的最初形態為垂直整合的運營模式,即企業內設有半導體產業所有的製造部門,僅用於滿足企業自身產品的需求。

2.家電,美國日本,IDM模式

1970s,美國將裝配產業轉移到日本,半導體產業轉變為IDM(IntegratedDeviceManufacture,集成器件製造)模式,即負責從設計、製造到封裝測試所有的流程。與垂直整合模式不同,IDM企業的晶元產品是為了滿足其他系統廠商的需求。隨著家電產業與半導體產業相互促進發展,日本孵化了索尼、東芝等廠商。我國大部分分立器件生產企業也採用該類模式。

3.PC,美日韓國、台灣地區,代工模式

1990s,隨著PC興起,存儲產業從美國轉向日本後又開始轉向了韓國,孕育出三星、海力士等廠商。同時,台灣積體電路公司成立後,開啟了晶圓代工(Foundry)模式,解決了要想設計晶元必須巨額投資晶圓製造產線的問題,拉開了垂直代工的序幕,無產線的設計公司(Fabless)紛紛成立,傳統IDM廠商英特爾、三星等紛紛加入晶圓代工行列,垂直分工模式逐漸成為主流,形成設計(Fabless)製造(Foundry)封測(OSAT)三大環節。

4.智能手機,全球--->中國大陸

2010s,隨著大陸智能手機品牌全球市場份額持續提升,催生了對半導體的強勁需求,加之國家對半導體行業的大力支持以及人才、技術、資本的產業環境不斷成熟,全球半導體產業醞釀第三次產業轉移,即向大陸轉移趨勢逐漸顯現。

人力成本是產業鏈變遷和轉移的重要動力

韓國和台灣地區的集成電路產業均從代工開始,代工選擇的主要因素便是人力成本,當時韓國和台灣地區的人力成本相比於日本低很多,封測業便開始從日本轉移到韓國、台灣地區。同樣由於人力成本的優勢,在21世紀初,封測業已經向國內轉移,可以說已經完成了當年韓國、台灣地區的發展初期階段。勞動力密集型的IC封測業最先轉移;而技術和資金密集型的IC製造業次之,轉移後會相差1-2代技術;知識密集型的IC設計一般很難轉移,技術差距顯著,需要靠自主發展。

1.4、4-6年周期性波動向上,突破4000億美元

4-6年為1個周期性波動向上

費城半導體指數(SOX)由費城交易所創立於1993年,有20家企業的股票被列入該指數,為全球半導體業景氣主要指標之一,其走勢與全球半導體銷售額的走勢基本相同。

根據世界半導體貿易統計組織(WSTS)數據披露,全球半導體銷售額於1994年突破1000億美元,2000年突破2000億美元,2010年將近3000億美元,預計2017年將會突破4000億美元,半導體產業規模不斷擴大,逐漸成為一個超級巨無霸的行業。

從全球半導體銷售額同比增速上看,全球半導體行業大致以4-6年為一個周期,景氣周期與宏觀經濟、下游應用需求以及自身產能庫存等因素密切相關。

2017突破4000億美元,存儲晶元是主要動力

據WSTS數據,2017年世界半導體市場規模為4086.91億美元,同比增長20.6%,首破4000億美元大關,創七年以來(2010年為年增31.8%)的新高。

其中,集成電路產品市場銷售額為3401.89億美元,同比增長22.9%,大出業界意料之外,佔到全球半導體市場總值的83.2%的份額。存儲器電路(Memory)產品市場銷售額為1229.18億美元,同比增長60.1%,佔到全球半導體市場總值的30.1%,超越歷年佔比最大的邏輯電路(1014.13億美元),也印證了業界所謂的存儲器是集成電路產業的溫度計和風向標之說。

半導體分立器件(D-O-S)方面,市場為685.02億美元,同比增長10.1%,佔到全球半導體市場總值的16.8%,主要得益於功率器件等推動分立器件(DS)市場銷售額同比增長10.7%以及MEMS、射頻器件、汽車電子、AI等推動感測器市場(Sensors)銷售額同比增長15.9%。

據ICInsights報道,DRAM2017年平均售價(ASP)同比上漲77%,銷售總值達720億美元,同比增長74%;NANDFlash2017年平均售價(ASP)同比上漲38%,銷售總額達498億美元,同比增長44%,NORFlash為43億美元,導致全球存儲器總體市場上揚增長58%。如若扣除存儲器售價上揚的13%,則2017年全球半導體市場同比增長率僅為9%的水平。依靠DRAM和NAND快閃記憶體的出色表現,三星半導體在2017年第二季度超越英特爾,終結英特爾20多年雄踞半導體龍頭位置的記錄。

從區域上看,WSTS數據顯示北美(美國)地區市場銷售額為864.58億美元,同比增長31.9%,增幅提升36.6%,居全球首位,佔到全球市場的21.2%的份額,起到較大的推動作用。其他地區(主要為中國)銷售額為2478.34億美元,同比增長18.9%,佔到全球市場總值的60.6%。

半導體帶動上游設備創歷史新高。據SEMI預測,2017年半導體設備的銷售額為559億美元,比2016年增長35.6%。2018年,半導體設備的銷售額達到601億美元,比2017年增長7.5%。

2、供需變化漲價蔓延,創新應用驅動景氣周期持續

2.1、供需變化沿產業鏈傳導,漲價持續蔓延擴展

本輪漲價的根本原因為供需反轉,並沿產業鏈傳導,從存儲器中DRAM和NAND供不應求漲價導致上游12寸矽片供不應求漲價,12寸晶圓代工廠漲價,NOR漲價,12寸矽片不足用8寸矽片代替,導致8寸矽片漲價,8寸晶圓代工廠漲價,傳導下游電源管理IC、LCD/LED驅動IC、MCU、功率半導體MOSFET等漲價,漲價持續蔓延。此外,2017Q4加密幣挖礦晶元半路殺出搶12寸晶圓先進位程產能。

2.1.1、存儲器:供不應求漲價開始,是否持續還是看供需

存儲器主要包括DRAM、NANDFlash和NORFlash。其中DRAM約佔存儲器市場53%,NANDFlash約佔存儲器市場42%,而NORFlash僅佔3%左右。DRAM即通常所說的運行內存,根據下游需求不同主要分為:標準型(PC)、伺服器(Server)、移動式(mobile)、繪圖用(Graphic)和消費電子類(Consumer)。NANDFlash即通常所說的快閃記憶體,根據下游需求不同主要分為:存儲卡/UFD、SSD、嵌入式存儲和其他。

存儲器的漲價由供不應求開始,是否持續還得看供需。

DRAM

需求端:下游智能手機運行內存不斷從1G到2G、3G、4G升級導致移動式DRAM快速需求增長,同時APP應用市場快速發展導致伺服器內存需求增長。

供給端:DRAM主要掌握在三星、海力士、美光等幾家手中,呈現寡頭壟斷格局,三星市佔率約為45%。2016年Q3之前,DRAM價格一路走低,所有DRAM廠商都不敢貿然擴產。供不應求導致DRAM價格從2016年Q2/Q3開始一路飆升,DXI指數從6000點上漲到如今的30000點。DXI指數是集邦諮詢於2013年創建反映主流DRAM價格的指數。

展望2018年上半年,因DRAM三大廠產能計劃趨於保守,2018年新增投片量僅約5-7%,實質新產能開出將落於下半年,導致上半年供給仍然受限,整體市場仍然吃緊;SK海力士決議在無錫興建新廠,最快產能開出時間落在2019年,我們預計在2018年上半年伺服器內存價格仍然會延續漲價的走勢。

2018Q1移動式內存價格可能會有較明顯影響。在大陸智能手機出貨疲弱的大環境影響下,雖然整體DRAM仍呈現供貨吃緊的狀態,但以三星為首率先調整對大陸智能手機廠商的報價,移動式內存的漲幅已較先前收斂,從原先的5%的季成長縮小為約3%。

NANDFlash

需求端:下游智能手機快閃記憶體存不斷從16G到32G、64G、128G甚至256G升級導致嵌入式存儲快速需求增長,同時隨著SSD在PC中滲透率提升導致SSD需求快速增長。

供給端:2016和2017年為NANDFlash從2D到3DNAND製程轉化年,產能存在逐漸釋放的過程,主要廠商有三星、東芝、美光和海力士,三星同樣是產業龍頭,市佔率約為37%。

展望未來,智能手機銷售增速疲軟,2018年上半年NAND需求恐不如預期,隨著3D產能不斷開出,市況將轉變成供過於求,導致NANDFlash價格持續走跌的機率升高。

NORFlash

雖然NORFLASH市場份額較小,但是由於代碼可在晶元內執行,仍然常常用於存儲啟動代碼和設備驅動程序。需求端:隨著物聯網、智慧應用(智能家居、智慧城市、智能汽車)、無人機等廠商導入NORFlash作為儲存裝置和微控制器搭配開發,NORFlash需求持續增長。供給端:一方面由於DRAM和NAND搶食矽片產能,導致NORFlash用12寸矽片原材料供不應求漲價;另一方面,巨頭美光及Cypress紛紛宣布淡出,關停部分生產線等,產生供給缺口,導致價格上漲。

經過近幾年版圖大洗牌,目前旺宏成為產業龍頭,市佔率約24%,CYPRESS(賽普拉斯)市場佔有率約21%,美光科技市佔率約20%,華邦電居第四位,大陸廠商兆易創新居第五,佔有一席之地。從各家公司的產品分布上,最高端NORFLASH產品多由美光、賽普拉斯供應,應用領域以汽車電子居多;華邦、旺宏則以NORFLASH中端產品供應為主,應用領域以消費電子、通訊電子居多;而兆易創新提供的多為低端產品,主要應用在PC主板、機頂盒、路由器、安防監控產品等領域。

展望未來,隨著iPhoneX採用AMOLED,需要再搭配一顆NORFlash,預期AMOLED智能型手機市場滲透率持續上升,對NORFlash需求的成長空間頗大。近年蓬勃發展的物聯網IOT需要有記憶體搭載,以及車用系統也持續增加新的需求。兆易創新戰略入股中芯國際,將形成存儲器虛擬「IDM」合作模式,進一步加深雙方合作關係,有助於保障長期產能供應,深度受益於NORFlash景氣。

2.1.2、矽片:供需剪刀差形成,從12寸向8寸蔓延

矽片是半導體晶元製造最重要的基礎原材料,在晶圓製造材料成本中佔比近30%,是份額最大的材料。

目前主流的矽片為300mm(12英寸)、200mm(8英寸)和150mm(6英寸),其中12英寸矽片份額在65-70%左右,8寸矽片佔25-27%左右,6寸佔6-7%左右。近年來12英寸矽片佔比逐漸提升,6和8寸矽片的市場將被逐步擠壓,預計2020年二者合計佔比由2014年的40%左右下降到2020年的30%左右,而更大尺寸450mm(18英寸)產能將在19年開始逐步投建。

矽片尺寸越大,單個矽片上可製造的晶元數量則越多,同時技術要求水平也越高。對於300mm矽片來說,其面積大約比200mm矽片多2.25倍,200mm矽片大概能生產出88塊晶元而300mm矽片則能生產出232塊晶元。更大直徑的矽片可以減少邊緣晶元,提高生產成品率;同時,在同一工藝過程中能一次性處理更多的晶元,設備的重複利用率提高了。

12英寸矽片主要用於高端產品,如CPUGPU等邏輯晶元和存儲晶元;8英寸主要用於中低端產品,如電源管理IC、LCDLED驅動IC、MCU、功率半導體MOSFE、汽車半導體等。

矽片供給屬於寡頭壟斷市場,目前全球硅晶圓廠商以日本、台灣、德國等五大廠商為主,包括日本信越、日本三菱住友SUMCO、環球晶圓、德國Siltronic、韓國SKSiltronic,前五大供應商囊括約90%以上的市場份額。

矽片的下遊客戶主要以三星、美光、SK海力士、東芝/WD為代表的存儲晶元製造商和以台積電、格羅方德、聯電、力晶科技、中芯國際為代表的純晶圓代工業者。

需求端:過去十年來矽片需求穩定增長。2016與2007年相比,製造一顆IC面積減少了24%以上,2016年IC面積0.044平方英寸/顆,而2007年0.058平方英寸/顆,1年約減少2~3%。但來自終端需求成長,帶動矽片需求量平均每年成長5~7%,故整體矽片面積每年呈3~5%的成長。

供給端:擴產不及時。據DIGITIMES的數據,自2006年至2016年上半,半導體矽片產業歷經長達10年的供給過剩,大多數硅晶圓供貨商獲利不佳,使得近年來供給端的動作相當保守,供應商基本沒有擴充產能,2017年受到下游存儲器、ASIC、汽車半導體、功率半導體等需求驅動,矽片呈現供不應求的局面,供需反轉形成剪刀差,矽片廠去庫存,矽片價格逐漸上升,從12寸向8寸蔓延。

12寸矽片

需求端:ICinsights數據顯示全球營運中的12寸晶圓廠數量持續成長,2017年全球新增8座12寸晶圓廠開張,到2020年底,預期全球將再新增9座的12寸晶圓廠運營,讓全球應用於IC生產的12寸晶圓廠總數達到117座。而如果18寸(450mm)晶圓邁入量產,12寸晶圓廠的高峰數量可達到125座左右;而營運中8寸(200mm)量產晶圓廠的最高數量則是210座(在2015年12月為148座)。根據SUMCO的數據,2016下半年全球300mm矽片的需求已經達到520萬片/月,2017年和2018年全球300mm矽片的需求分別為550萬片/月和570萬片/月。預計未來三年300mm矽片需求將持續增加,2020年新增矽片月需求預計超過750萬片/月,較2017年增加200萬片/月以上,需求提升36%,從2017-2022年複合需求增速超過9.7%,值得注意的是,以上測算需求還沒有考慮部分中國客戶。

供給端:根據SEMI的預測,2017年和2018年300mm矽片的產能為525萬片/月和540萬片/月。由於2017年之前矽片供大於求,矽片產業虧多賺少,各大矽片廠擴產意願低,所以全球矽片的產量增長緩慢。各大廠商以漲價和穩固市佔率為主要策略,到目前為止僅有SUMCO預計在2019年上半年增加11萬片/月和Siltronic計划到19年中期擴產7萬片/月。我們預計未來幾年12寸矽片的缺貨將是常態。

漲價:12寸矽片供不應求,缺貨成常態,矽片價格逐步上升,下游晶圓廠開始去庫存。信越半導體及SUMCO的12寸矽片簽約價已從2017年的75美元/片上漲至120美元/片,漲幅高達60%。未來幾年矽片供給仍然存在明顯缺口,我們預計漲價趨勢將持續,2018年12寸矽片將進一步漲價20%-30%左右。

8寸矽片

需求端:2017年上半年8寸晶圓廠整體的需求較平緩,隨著2017年第3季旺季需求顯現,預期隨著硅晶圓續漲,在LCD/LED驅動IC、微控制器(MCU)、電源管理IC(PMIC)、指紋辨識IC、CIS影響感測器等投片需求持續增加。雖然LCD驅動IC、PMIC、指紋辨識IC等已出現轉向12寸廠投片情況,但多數上游IC設計廠基於成本及客制化的考慮,仍以在8寸廠投片為主。Sumco預計到2020年200mm矽片需求量將達574萬片/月,比2016年底的460萬片/月增加24.78%。

供給端:8寸晶圓製造設備產能持續降低,部份關鍵設備出現嚴重缺貨,二手8寸晶圓製造設備也是供不應求。在此情況下,晶圓代工短期廠很難大舉擴增8寸晶圓產能,8寸硅晶圓的擴產需到2018年-2019年才有產出,我們預計未來幾年8寸矽片也將處於供給緊張狀態。

漲價:2017年12英寸硅晶圓供不應求且價格逐季調漲,8英寸硅晶圓價格也在2017年下半年跟漲,累計漲幅約10%。在投片需求持續增加,但擴產有限下,預期2018年上半年8寸晶圓廠產能整體產能仍吃緊。根據ESM報道,預期隨著硅晶圓續漲價,預計2018年第1季8寸晶圓代工價格將會調漲5~10%。

2.1.3、8寸晶圓產品:產品漲價蔓延

8寸硅晶圓短缺以及晶圓廠產能緊缺的影響逐漸向市場滲透,而電源IC、MCU、指紋IC、LED/LCD驅動晶元、MOSFET等皆為8寸產線。

根據國際電子商情報道,多家國內外原廠發布了自2018年1月1日起漲價的通知,主要集中在MOSFET、電源IC、LCD驅動IC等產品,有的漲幅達到了15%-20%。國內廠商,富滿電子、華冠半導體、芯電元、芯茂微電子、裕芯電子、南京微盟等對電源IC、LED驅動IC、MOSFET等產品進行了調價,其中MOSFET漲幅較大。國際分立器件與被動元器件廠商Vishay決定自2018年1月2日起對新訂單漲價,未發貨訂單價格也將於3月1日起調整。

MOSFET:延長交期

根據富昌電子2017年Q4的市場分析報告指出,低壓MOSFET產品,英飛凌、Diodes,飛兆(安森美)、安森美、安世,ST,Vishay的交期均在延長,交期在16-30周區間。英飛凌交期16-24周,汽車器件交貨時間為24+周。安世半導體交期20-26周,汽車器件產能限制。Vishay/Siliconix從5&6英寸晶圓廠轉型成8英寸晶圓廠,貨期也有改進。高壓MOSFET產品,除IXYS和MS交期穩定之外,英飛凌、飛兆/安森美、ST、羅姆、Vishay皆為交期延長。

MCU:恐將缺貨一整年

2017年12月,全球汽車電子晶元龍頭大廠NXP(恩智浦)宣布,從2018年第一季度開始,MCU、汽車電子等產品將會進入漲價通道,漲價幅度5%-10%不等。此外,自2017年以來,全球多家MCU廠商產品出貨交期皆自四個月延長至六個月,日本MCU廠更罕見拉長達九個月。2017年全球電子產品製造業營運大多相當紅火,連日本半導體廠也出現多年不見正成長榮景,帶動IC晶元等電子元件銷量走升。預估後市於全球汽車電子、物聯網應用需求不斷爆發、持續成長,矽晶圓廠產能滿載下,2018年全球MCU市場,恐將一整年持續面臨供應短缺局面。

LCD驅動IC:漲價or缺貨

根據WitsView預測,一方面,由於晶圓代工廠提高8英寸廠的IC代工費用,IC設計公司第一季可能跟著被迫向面板廠提高IC報價5~10%,以反映成本上升的壓力。另一方面,隨著物聯網、車用電子以及智慧家居等需求興起,帶動電源管理與微控制器等晶元用量攀升,已經開始擠壓8英寸晶圓廠LCD驅動IC的投片量。

近年來因面板廠的削價競爭,驅動IC價格大幅滑落,早已成為晶圓代工廠心中低毛利產品的代名詞,當利潤更佳的電源管理晶元或是微控制器的需求崛起,也剛好給了晶圓代工廠一個絕佳的調整機會,預估截至2018年第一季,晶圓代工廠驅動IC的投片量將下修約20%。中低端IT面板用驅動IC供應吃緊,驅動IC的交期普遍都拉長到10周以上,有可能連帶影響面板的供貨。

2.2、硅含量提升&創新應用驅動,半導體景氣周期持續

本輪半導體景氣周期以存儲器、矽片等漲價開始,受益於電子產品硅含量提升和下游創新應用需求推動,我們認為半導體行業有望得到長效發展。

2.2.1、硅含量提升

按照ICInsights的預測,半導體所佔電子信息產業的比例,將由2016年的25%提高到接近2017年的28.1%,將會有更多的元器件被半導體所取代或整合,或者更多的新功能新應用被新設備所採用,半導體對應電子產品的重要性越來越大,預計到2021年,半導體價值量在整機中的佔比將上升到28.9%,提升空間廣闊。

以電動汽車為例,據strategyanalytics2015數據,傳統汽車的汽車電子成本大約在315美金,而插混汽車和純電動汽車的汽車電子含量增加超過一倍,插混汽車大約703美金,純電動汽車大約719美金。此外,汽車智能化還將進一步提高汽車電子的用量,從而推動半導體行業的發展。

2.2.2、創新應用驅動

根據SIA數據,2016全球半導體下游終端需求主要以通信類(含智能手機)佔比為31.5%,PC/平板佔比為29.5%,消費電子佔比13.5%,汽車電子佔比11.6%。

展望未來,半導體產業除了傳統3C及PC驅動外,物聯網、5G、AI、汽車電子、區塊鏈及AR/VR等多項創新應用將成為半導體行業長效發展的驅動力。

物聯網IOT:到2020年全球產業規模將達到2.93萬億美元

移動通訊商愛立信的數據顯示,2015-2021年期間,全球基於蜂窩物聯網和非蜂窩物聯網的物聯設備年複合增長率將分別達到27%、22%,增速約為傳統行動電話的7倍。

物聯網設備增長帶動全球市場快速增長。據ICInsights等機構研究,2016年全球具備聯網及感測功能的物聯網市場規模為700億美元,比上年增長21%。預計2017年全球物聯網市場規模將達到798億美元,增速為14%。2018年全球市場增速將達30%,規模有望超千億美元。

市場調研機構Gartner數據顯示,2017年全球物聯網市場規模將達到1.69萬億美元,較2016年增長22%。在新一輪技術革命和產業變革帶動下,預計物聯網產業發展將保持20%左右的增速,到2020年,全球物聯網產業規模將達到2.93萬億美元,年均複合增長率將達到20.3%。

5G:射頻晶元和濾波器價值提升

據中國信息通信研究院預測,5G商用部署後,至2025年中國的5G連接數將達到4.28億,佔全球連接總數的39%。華為2018年搶先發布了首款3GPP標準的5G商用晶元和終端,2019年,華為將推出5G手機。5G時代頻段和載波聚合技術會增加射頻元件的使用數量,新技術提高了射頻部分元器件的設計難度,帶來元器件單機價值量提升。在半導體領域體現在射頻晶元和濾波器兩部分價值的提升。智能手機使用的RF前端模塊與組件市場於2016年產值為101億美元,到了2022年,預計將會成長至227億美元。

人工智慧AI&區塊鏈:特殊應用晶元高速成長

人工智慧晶元的發展路徑經歷了從通用走向專用,從CPU到GPU到FPGA再到ASIC。

《2016-2017中國物聯網發展年度報告》顯示2016年全球人工智慧晶元市場規模達到23.88億美金,預計到2020年將達到146億美金,增長迅猛,發展空間巨大。

此外,以區塊鏈為底層技術的加密貨幣帶動挖礦晶元及其封裝市場的增長。據預測,2017年若以主流28納米流片的晶元數目來計算,2017年對應的晶元用量約為3.2億個挖礦晶元,2017年全年礦機晶元封裝市場約為9-11億元之間。展望2018,往後還將出現12納米製程以下的ASIC礦機晶元,根據DIGITIMES預估,2018年礦機晶元封測市場規模預估將成長至少四倍,逼近40億元人民幣以上。

以台積電為例,在iPhoneX出貨量調降、中國對智能手機需求疲弱之際,加密貨幣相關業務或成為台積電營收貢獻的及時雨,比特大陸2017年12月躍升為台積電的最大大陸客戶。台積電預期虛擬貨幣相關特殊應用晶元,和其他具備核心深度學習、高速運算的繪圖晶元等,將是台積電2018成長最強的領域。根據Gartner預測,快速崛起的深度學習處理器到2022年將成長至160億美元市場規模。

汽車電子:電動化+智能化+網聯化推動汽車電子含量顯著提升

隨著全球能源、環境、交通安全等問題日漸突出和消費者對汽車的舒適、便利、娛樂等的要求越來越高,汽車向電動化、輕量化、智能化、聯網化發展。根據普華永道和思略特預測,從2025年開始,電動車將迅速發展;而到2028年,4/5級無人駕駛汽車將成為主流。

汽車電動化+智能化+網聯化趨勢下,汽車電子含量顯著提升,主要來自於兩方面:一是電動化帶來功率半導體、MCU、感測器等增加;二是智能化和網聯化帶來車載攝像頭、雷達、晶元等增加。在智能化帶來的增量方面,自動駕駛級別每提升一級,感測器的需求數量將相應的增加,到L4/L5級別,車輛全身感測器將多達十幾個以上。

以特斯拉為例,Autopilot2.0感測器包含12個超聲波感測器,8個攝像頭以及1個雷達。未來5年,隨著汽車自動化級別的逐步提高,在雷達和攝像頭模塊的驅動下,ADAS/AD半導體市場將加速增長。英飛凌認為:2025年左右,L3自動駕駛車輛的單車半導體成本平均為580美元;2030年左右,L4/L5自動駕駛車輛的單車半導體成本平均為860美元。

據《中國汽車電子行業分析報告》數據顯示,2013年,我國汽車電子市場規模為3120億元,到2015年時,已增至3979億元,呈現逐年快速增長態勢。預計到2020年,我國汽車電子市場規模將達到7049億元。

2.2.3、半導體景氣周期持續

美國半導體行業協會(SIA)數據顯示,2018年1月全球半導體銷售額增長22.7%,達到創紀錄的376億美元,連續18個月實現增長。其中,美國半導體銷售額同比飆升40.6%,創有史以來最大增幅;歐洲銷售額增長19.9%,亞太及所有其它地區銷售額增長18.6%,中國市場銷售額增長18.3%,日本銷售額增長15.1%。

SEMI預估,2018年半導體產值年增率約5%至8%,再創新高,2019年可望續增,產值將首度站上5,000億美元大關。研究機構Gartner預期半導體市場2018年仍持續是個好年,但相較於2017年成長將會趨緩,2018年預測約達到7.5%,而在往後2019-2020年成長將呈現持平的狀態。

根據ICInsights數據顯示,在集成電路市場的四大產品類別:模擬、邏輯、存儲和微元件中,2017-2022年模擬市場增速最高達到6.6%,而微元件市場僅為3.9%,整體集成電路市場年複合增長率為5.1%。

3、提高自給率迫在眉睫,大國戰略推動產業發展

3.1、市場雖大自給率低,晶元國產化迫在眉睫

中國半導體市場接近全球的1/3。根據WSTS數據,2016年全球半導體銷售額為3389億美元,其中我國半導體銷售額1075億,佔全球市場的31.7%。中國為全球需求增長最快的地區。2010年-2016年,全球半導體市場規模年均複合增速為6.3%,而中國年均複合增速為21.5%。隨著5G、消費電子、汽車電子等下游產業的進一步興起,疊加全球半導體產業向大陸轉移,預計中國半導體產業規模進一步增長。

自給率水平低,核心晶元缺乏,國產化迫在眉睫。在2014及2015年的統計中晶元進口就超過了2000億美元,超過了原油,成為中國進口量最大的商品。根據ICinsights數據,2015國內半導體自給率還沒超過10%,16年自給率剛達到10.4%。預計15年到20年,國內的半導體自給產值CAGR能達到28.5%,從而達到2020年國產化比例15%的水平。

特別是核心晶元自給率極低。我國計算機系統中的CPUMPU、通用電子統中的FPGA/EPLD和DSP、通信裝備中的嵌入式MPU和DSP、存儲設備中的DRAM和NandFlash、顯示及視頻系統中的DisplayDriver,國產晶元佔有率都幾乎為零。

這種情況對於國家和企業而言都是非常不利的,不管是從國家安全還是電子產業的發展而言,全力推動半導體產業目前已經成為了全國上下的一致共識,整個行業的發展動力非常充足。

根據ICInsight的數據,2016年全球20大半導體企業中,仍然以海外公司為主。其中美國有8家,日本、台灣地區和歐洲各佔3家,韓國佔2家,新加坡有1家,沒有一家大陸半導體公司上榜。不管是設計製造還是IDM模式方面,大陸半導體產業和國際先進水平仍然存在不小差距。

3.2、大國戰略推動產業發展,大基金撬動千億產業資金

國內半導體發展大致可以分為三個階段:

第一階段為1982-2000,稱之為搭框架階段。1982年成立了國務院計算機與大規模集成電路領導小組,由於當時的國際環境比較好,我們提出以市場換技術,以北京、上海、無錫為中心建立半導體產業基地,尤其是90s的無錫華晶,成為國內矚目的半導體標杆性企業。

第二階段為2000-2014,18號文之後的15年,商業化初步階段。2000年國務院[18號文],出台《鼓勵軟體產業和集成電路產業發展的若干政策》,到2011年,國務院很快發布了關於《進一步鼓勵軟體和集成電路產業發展若干政策》的通知,就是4號文,在稅收和財政上給予半導體產業優惠政策,產業分工得以初步實現。晶圓廠迎來一波建設浪潮,2000年後,天津摩托羅拉投資14億美元建成月產2.5萬片的8英寸工廠,上海中芯國際投資15億美元建成月產4.2萬片的8英寸工廠。到2003年,國內出現一批晶圓代工企業,如上海宏力、蘇州和艦(聯電)、上海貝嶺、上海先進(飛利浦),北京中芯環球等。

第三階段為2014-2030,以2014年發展綱要頒布為起點的15年,進入跨越式發展推進階段。2014年6月,國務院頒布了《國家集成電路產業發展推進綱要》,提出設立國家集成電路產業基金(簡稱「大基金」),將半導體產業新技術研發提升至國家戰略高度。且明確提出,到2020年,集成電路產業與國際先進水平的差距逐步縮小,全行業銷售收入年均增速超過20%,企業可持續發展能力大幅增強;到2030年,集成電路產業鏈主要環節達到國際先進水平,一批企業進入國際第一梯隊,實現跨越發展。

據集邦諮詢統計,截至2017年11月30日,大基金累計有效決策62個項目,涉及46家企業,累計有效承諾額1,063億元,實際出資794億元,分別占首期總規模的77%和57%,投資範圍涵蓋IC產業上、下游。大基金在製造、設計、封測、設備材料等產業鏈各環節進行投資布局全覆蓋,各環節承諾投資佔總投資的比重分別是63%、20%、10%、7%。

我們對大基金投資標的進行了匯總,截至2018年1月19日,大基金已成為50多家公司股東,涉及18家A股公司、3家港股公司,目前大基金持股市值超200億。

在國家集成電路產業投資基金之外,多個省市也相繼成立或準備成立集成電路產業投資基金,目前包括北京、上海、廣東等在內的十幾個省市已成立專門扶植半導體產業發展的地方政府性基金。根據國家集成電路產業基金的統計,截止2017年6月,由「大基金」撬動的地方集成電路產業投資基金(包括籌建中)達5145億元。

目前大基金二期已經啟動,募集金額有望超過一期,一期規模為1387億元。大基金總經理丁文武透露,大基金將提高對設計業的投資比例,並將圍繞國家戰略和新興行業進行投資規劃,比如智能汽車、智能電網、人工智慧、物聯網、5G等,並盡量對設備和材料給予支持,推動其加快發展。

4、大陸設計製造封測崛起,材料設備重點突破

4.1、產業生態逐步完善,三業發展日趨均衡

經過多年的發展,通過培育本土半導體企業和國外招商引進國際跨國公司,國內逐漸建成了覆蓋設計、製造、封測以及配套的設備和材料等各個環節的全產業鏈半導體生態。大陸湧現了一批優質的企業,包括華為海思、紫光展銳、兆易創新、匯頂科技等晶元設計公司,以中芯國際、華虹半導體、華力微電子為代表的晶圓製造企業,以及長電科技、華天科技、通富微電、晶方科技等晶元封測企業。

根據集邦諮詢數據,2017年中國半導體產值將達到5176億元人民幣,年增率19.39%,預估2018年可望挑戰6200億元人民幣的新高紀錄,維持20%的年增長速度,高於全球半導體產業增長率。

近年來,國內半導體一直保持兩位數增速,製造、設計與封測三業發展日趨均衡,但我國集成電路產業結構依然不均衡,製造業比重過低。2017年前三季度,我國IC設計、製造、封測的產業比重分別為37.7%、26%和35.5%,但世界集成電路產業設計、製造和封測三業佔比慣例為3∶4∶3。

我國2016年設計業佔比首次超越封測環節,未來兩年在AI、5G、物聯網,以及區塊鏈、指紋識別、CIS、AMOLED、人臉識別等新興應用的帶動下,預估設計業佔比將在2018年持續增長至38.8%,穩居第一的位置。

製造產業加速建設,尤其以12寸晶圓廠進展快速。2018年將有更多新廠進入量產階段,整體產值將有望進一步攀升,帶動IC製造的佔比在2018年快速提升至28.48%。

封測業基於產業集群效應、先進技術演進驅動,伴隨新建產線投產運營、中國本土封測廠高階封裝技術愈加成熟、訂單量增長等利多因素帶動,我們預計2018年封測業產值增長率將維持在兩位數水平,封測三巨頭增速將優於全行業。

4.2、設計:自主發展,群雄並起

我國部分專用晶元快速追趕,正邁向全球第一陣營。專用集成電路細分領域眾多,我國能夠趕上世界先進水平的企業還是少數,這主要有兩類。一是成本驅動型的消費類電子,如機頂盒晶元、監控器晶元等。二是通信設備晶元,例如,華為400G核心路由器自主晶元,2013年推出時領先于思科等競爭對手,並被市場廣泛認可。上述晶元設計能較好地兼顧性能、功耗、工藝製程、成本、新產品推出速度等因素,具備很強的國際競爭力。但是,在高端智能手機、汽車、工業以及其他嵌入式晶元市場,我國差距仍然很大。

高端通用晶元與國外先進水平差距大是重大短板。在高端通用晶元設計方面,我國與發達國家差距巨大,對外依存度很高。我國集成電路每年超過2000億美元的進口額中,處理器和存儲器兩類高端通用晶元合計佔70%以上。英特爾、三星等全球龍頭企業市場份額高,持續引領技術進步,對產業鏈有很強的控制能力,後發追趕企業很難獲得產業鏈的上下游配合。雖然紫光展銳、華為海思等在移動處理器方面已進入全球前列。但是,在個人電腦處理器方面,英特爾壟斷了全球市場,國內相關企業有3~5家,但都沒有實現商業量產,大多依靠申請科研項目經費和政府補貼維持運轉。龍芯近年來技術進步較快,在軍品領域有所突破,但距離民用仍然任重道遠。國內存儲項目剛剛起步,而對於FPGA、AD/DA等高端通用晶元,國內基本上是空白。

收購受限,自主發展。隨著萊迪思(以FPGA產品為主營業務)收購案被否決,標誌著通過收購海外公司來加速產業發展的思路已經不太現實,越是關鍵領域,美國等國家對於中國的限制就會嚴格,只有自主發展,才是破除限制的根本方法。

海思展訊進入全球前十。根據ICInsights2017年全球前十大Fabless排名,國內有兩家廠商殺進前十名,分別是海思和紫光集團(展訊+RDA),這兩者分別以47.15億美元和20.50億美元的收入分居第七位和第10位,其中海思的同比增長更是達到驚人的21%,僅僅次於英偉達和AMD,在Fabless增長中位居全球第三。

大陸設計業群雄逐鹿。根據《砥礪前行的中國IC設計業》數據顯示,2017年國內共有約1380家晶元設計公司,較去年的1362家多了18家,總體變化率不大。而2016年,則是中國晶元設計行業突飛猛進的一年,相關設計公司數量較2015年大增600多家。

根據集邦諮詢數據,2017年中國IC設計業產值預估達人民幣2006億元,年增率為22%,預估2018年產值有望突破人民幣2400億元,維持約20%的年增速。

2017年中國IC設計產業廠商技術發展僅限於低端產品的狀況已逐步改善,海思的高端手機應用處理晶元率先採用了10nm先進位程,海思、中興微的NB-IoT、寒武紀、地平線的AI布局在國際嶄露頭角,展銳、大唐、海思的5G部署也順利進行。

根據集邦諮詢預估的2017年IC設計產業產值與廠商營收排名數據,今年前十大IC設計廠商排名略有調整,大唐半導體設計將無緣前十,兆易創新和韋爾半導體憑藉優異的營收表現進入排行前十名。

海思:受惠於華為手機出貨量的強勢增長和麒麟晶元搭載率的提升,2017年營收年增率維持在25%以上。

展銳:受制於中低端手機市場的激烈競爭,2017年業績出現回調狀況。

中興微電子:以通訊IC設計為基礎,受到產品覆蓋領域廣泛的帶動,預估營收成長率超過30%。

華大半導體:業務涉及到智能卡及安全晶元、模擬電路、新型顯示等領域,2017年營收也將超過人民幣50億元。

匯頂科技:在智能手機指紋識別晶元搭載率的持續提升和產品優異性能的帶動下,在指紋市場業績直逼市場龍頭FPC,預計今年營收增長也將超過25%。

兆易創新:首次進入營收前十名,憑藉其在NORFlash和32bitMCU上的出色市場表現,2017年營收成長率有望突破40%,超過人民幣20億元。

而在芯謀研究發布的2017年中國十大集成電路設計公司榜單上,比特大陸以143億元的年銷售額躍升第二,成為中國晶元設計業的年度黑馬。比特大陸是全球最大的比特幣礦機生產商,旗下的螞蟻礦機系列2017年銷量在數十萬台,市場佔有率超過80%。

2018年,中國IC設計產業在提升自給率、政策支持、規格升級與創新應用三大要素的驅動下,將保持高速成長的趨勢,其中,中低端產品市場佔有率持續提升,國產化的趨勢將越加明顯。另一方面,資金與政策支持將持續擴大。大基金第二期正在募集中,且會加大對IC設計產業的投資佔比,同時選擇一些創新的應用終端企業進行投資。此外,科技的發展也引領終端產品規格升級,物聯網、AI、汽車電子、專用ASIC等創新應用對IC產品的需求不斷擴大,也將為2018年IC設計產業帶來成長新動力。

4.3、製造:產業轉移,3代工+3存儲

晶圓製造產業向大陸轉移。在半導體向國內轉移的趨勢下,國際大廠紛紛到大陸地區設廠或者增大國內建廠的規模。據ICInsight數據,2016年底,大陸地區晶圓廠12寸產能210K(包括存儲產能),8寸產能611K。本土的中芯國際、華力微以及武漢新芯的12寸產能合計為160K。

大陸12寸晶圓廠產能爆發。根據SEMI數據顯示,預計2017年至2020年間,全球投產的晶圓廠約62座,其中26座位於中國,佔全球總數的42%。根據TrendForce統計,自2016年至2017年底,中國新建及規劃中的8寸和12寸晶圓廠共計約28座,其中12寸有20座、8寸則為8座,多數投產時間將落在2018年。預估至2018年底中國12寸晶圓製造月產能將接近70萬片,較2017年底成長42.2%;同時,2018年產值將達人民幣1,767億元,年成長率為27.12%。

晶圓代工三強:中芯國際、華虹半導體、華力微

在晶圓代工市場,大陸廠商面臨著挑戰與機遇。一方面,大陸設計公司在快速成長,本土設計公司天然有支持本土製造廠商的傾向;另一方面,製造業發展所需資金、人力與知識積累的門檻越來越高,在這些方面中國廠商與世界領先廠商的差距有拉大的趨勢。如何在現有基礎上穩紮穩打,逐步縮小與世界先進水平的差距,相當考驗以中芯國際、華宏宏力、華力微為代表的大陸代工廠的經營能力。

全球晶圓代工穩步增長,行業集中高。ICInsight預計2016-2021年的純晶圓代工廠將年均以7.6%的複合增速增長,從2016年的500億美元增長到2021年的721億美元。純晶圓代工行業集中度很高,前四大純晶圓代工廠合計佔據全球份額的85%,其中台積電一家更是雄踞近60%的市場份額。基於晶圓代工行業高技術高投入的門檻,我們判斷晶圓代工行業格局短期不會有太大變化,但國內中芯國際可能會是增速最快的一家。

國內代工三強與國際巨頭相比,追趕仍需較長時間。從大陸市場來看,由於國內市場的崛起,尤其是設計公司的快速發展,純晶圓廠在國內的銷售額的增長迅猛。根據ICinsight預測,2017年大陸地區晶圓代工市場達到70億美金,同比增長16%,顯著高於全球平均增速。台積電依然是一家獨大,佔比高達47%。

國內先進位程落後相差兩代以上。半導體晶圓製造集中度提升,只有巨頭才能不斷地研發推動技術的向前發展。世界集成電路產業28-14nm工藝節點成熟,14/10nm製程已進入批量生產,Intel、三星和台積電均宣布已經實現了10nm晶元量產,並且準備繼續投資建設7nm和5nm生產線。而國內28nm工藝僅在2015年實現量產,且仍以28nm以上為主。

本土晶圓廠最先進量產製程目前仍處於28nmPoly/SiON階段,雖然在28nm營收佔比、28nmHKMG量產推進及方面皆取得不錯的成績。中芯國際是國內純晶圓製造廠龍頭,在傳統製程(≥40nm)已具備相當的比較優勢,同時積極擴展28nm領域,但面臨最大的障礙是28nm良率不足的問題,一旦未來6-12個月內取得突破,將為公司打開更廣闊空間,相應的擴產力度和節奏都將大大提高。梁孟松入職中芯擔任聯合CEO,極大地提高了關鍵製程確定性。梁孟松早年是台積電和三星的技術核心人物,台積電的130nm、三星的45/32/28nm每一節點都有梁的突出貢獻。我們認為在梁主導研發之後,將有效整合中芯現有資源,加快突破28nm的進程以及進軍14nm研發。但另一方面,台積電(南京)、聯芯(廈門)、格芯(成都)等外資廠商的同步登陸布局也將進一步加劇與本土廠商在先進位程的競爭。

存儲器三強:長江存儲、合肥長鑫、福建晉華

存儲器分類、市場空間、競爭格局等相關內容已在本文2.1節介紹(單擊此處跳轉查看)。2017年風光無限的存儲器市場上,中國是買單的一方,無論是DRAM還是NAND快閃記憶體,現在的自給率仍然是零。目前大陸用於專門生產存儲器的12英寸晶圓廠都主要為外資企業,包括SK海力士(無錫)、三星(西安)和英特爾(大連)。本土存儲項目剛剛起步,產線尚在建設當中,主要包括武漢長江存儲、福建晉華集成、合肥長鑫存儲。

長江存儲是由紫光集團與武漢新芯合作成立,首期投入超過600億元,預計未來還將追加300億美元。2016年底動工國家存儲器基地項目,2017年2月宣布與微電子所聯合研發的32層3DNANDFlash晶元順利通過測試,目前已累積多個3DNAND專利,有望2018年底順利投產,預計2020年月產能將達30萬片。紫光還計劃在成都和深圳投資兩條總產能14萬/月的NANDFlash12寸生產線。但是紫光的NANDFlash製程節點仍落後國際大廠1-2代。目前長江存儲的重心放在3DNANDflash的開發上面,同時也在推進20/18nm的DRAM開發,DRAM進度慢於NANDFLASH,預計DRAM最快將於2020年量產。

合肥長鑫存儲由兆易創新、中芯國際前CEO王寧國與合肥產投簽訂協議成立,項目預算金額為180億元人民幣。兆易創新負責研發19nm工藝製程的12英寸晶圓移動型DRAM,目標於2018年底前研發成功,實現產品良率不低於10%。屆時,合肥長鑫將成為中國第一家自主化大規模DRAM工廠,將是世界第四家突破20nm以下DRAM生產技術的公司。

福建晉華項目由台聯電提供技術專攻利基型DRAM(消費電子),已投資56.5億元在晉江建設12寸晶圓廠,初期將導入32nm製程,規劃產能為每月6萬片,預計2018年9月開始試產。

4.4、封測:力爭先進,三足鼎立

現代電子封裝包含的四個層次:零級封裝——半導體製造的前工程,晶元的製造,晶體管互連7-500納米;一級封裝——半導體製造的後工程,晶元的封裝,通常的封裝是指一級封裝,封裝體內互連20-500微米;二級封裝——在印刷線路板上的各種組裝,基板上互連100-1000微米;三級封裝——手機等的外殼安裝,儀器設備內互連1000微米。

根據封裝材料分類,可分為金屬封裝體(約佔1%):外殼由金屬構成,保護性好、但成本高,適於特殊用途;陶瓷封裝體(約佔2%):外殼由陶瓷構成,保護性好、但成本高,適於特殊用途;塑料封裝體(約佔93%):由樹脂密封而成,成本低,占封裝體的90%以上,被廣泛使用。

目前主流市場封裝形式粗略地可分為的兩種:引線框架型和球柵陣列型。

在性能和成本的驅動下,封裝技術發展呈現兩大趨勢:微型化和集成化。微型化是指單個晶元封裝小型化、輕薄化、高I/O數發展;而集成化則是指多個晶元封裝在一起。集成化並不是相互獨立的,集成化可以根據不同的微型化組合形成多種解決方案。

微型化發展出FOWLP,封裝的「先進位程」

封裝技術經歷了引線框架(DIPSOPQFPQFN)WBBGA(焊線正裝)FCBGA(倒裝)WLP(晶圓級封裝)的發展過程,可容納的I/O數越來越多,封裝的厚度和尺寸越來越小。FC和WLP屬於先進封裝。

WLP封裝優點包括成本低、散熱佳、電性優良、信賴度高,且為晶元尺寸型封裝,尺寸與厚度皆可達到更小要求等。WLP封裝另一項優勢在於封裝製程採取整批作業,因此晶圓尺寸越大,批次封裝數量越多,成本能壓得更低,符合晶圓廠由8吋轉進12吋發展趨勢,WLP專業封測廠利潤空間也可提高。

WLP又經歷了從Fan-in(Fan-inWLP一般稱為WLCSP)向Fan-out(Fan-outWLP一般簡稱為FOWLP)的演進,Fan-out可實現在晶元範圍外延伸RD以容納更多的I/O數。

Fan-OutWLP技術是先將晶元作切割分離,然後將晶元正面朝下黏於載具(Carrier)上,並且晶元間距要符合電路設計的節距(Pitch)規格,接者進行封膠(Molding)後形成面板(Panel)。後續將封膠面板與載具分離,因為封膠面板為晶圓形狀,又稱重新建構晶圓(ReconstitutedWafer),可大量應用標準晶圓製程,在封膠面板上形成所需要的電路圖案。由於封膠面板的面積比晶元大,不僅可以採用扇入(Fan-In)方式製作I/O接點,也可以採用扇出(Fan-Out)方式製作,如此便可容納更多的I/O接點數目。

集成化發展出SIP,超越摩爾極限

隨著摩爾定律發展接近極限,集成電路的集成化越來越高,呈現出兩種集成路徑,一是moremoore,即在設計和製造端將多個功能的系統集成在一個晶元上,即SOC技術(Systemonchip),同時封測端發展出的FO-WLP技術正好可以用來封裝SOC晶元;二是morethanmoore,即是在封測端將多個晶元封裝成一個,即SIP技術(SysteminPackage)。

SIP是從封裝的立場出發,對不同晶元進行並排或疊加的封裝方式,將多個具有不同功能的有源電子元件與可選無源器件,以及諸如MEMS或者光學器件等其他器件優先組裝到一起,實現一定功能的單個標準封裝件。SiP有效地突破了SoC在整合晶元途徑中的限制,極大地降低了設計端和製造端成本,也使得今後晶元整合擁有了客制化的靈活性。

SIP封裝並無一定形態,SIP封裝可根據不同晶元排列方式與不同內部結合技術的搭配,生產定製化產品,滿足客戶定製化需求,例如採取多種裸晶元或模塊進行平面式2D封裝(MCM等)或3D(MCP、SatckDie、PoP、PiP等)封裝,其內部的互連技術可以使用引線鍵合(WireBonding),也可使用倒裝焊(FlipChip)或硅通孔(TSV)等,還可採用多功能性基板整合組件的方式,將不同組件內藏於多功能基板中(即嵌入式封裝),最終實現功能整合。

TSV助力SIP向3D發展

TSV(ThroughSiliconVia)和WB金屬線連接以及倒裝FC中的bumping都是一種連接技術。TSV在晶元間或晶圓間製作垂直通道,實現晶元間垂直互聯。相比引線鍵合技術以及倒轉片技術,TSV連線長度縮短到晶元厚度,傳輸距離減少到千分之一;可以實現複雜的多片全硅系統集成;可以顯著減小RC延遲,提高計算速度;顯著降低雜訊、能耗和成本。

TSV最早應用於CIS封裝,目前成本較高,主要應用於圖像感測器、轉接板、存儲器、邏輯處理器+存儲器、RF模組、MEMS晶圓級3D封裝等高端封裝。未來若在成本控制方面有所突破,相信TSV技術大有取代引線鍵合互聯之勢。

除了先FOWLP和SIP2.5D/3D集成電路封裝,還有一種先進封裝技術稱為嵌入式封裝(EmbeddedDie),即在PCB板中的嵌入晶元。智能手機中的DC/DC變換器是首款出貨量顯著嵌入式封裝產品。嵌入式晶元適用的汽車、醫療和航空航天等領域,為更長的認證時間和監管認證周期而進展緩慢。

先進封裝技術(FC、FOWLP、SIP、TSV)重構了封測廠的角色。FOWLP使得封測廠向上延伸到製造工序;SIP和TSV使得封測廠向下游延伸到微組裝(二級封裝)。

蘋果iPhone7的A10處理器採用了台積電的FoWLP和SIP相結合的技術,台積電內部稱作InFoWLP技術。A10處理器是將應用處理器與移動DRAM整合在同一個封裝中,相比傳統POP封裝,由於InFOWLP封裝不使用基板,可減少0.6厘米的厚度,為未來幾年的移動封裝技術立下新的標竿。

蘋果AppleWatchS系列晶元是最早大規模使用SiP技術的典型的應用。同時iPhone中也具備多個SiP模組,在iPhone7中SiP模組多達5個。

從市場上看,根據Yole數據,先進封裝2016年至2022年的年複合增長率達到7%,高於整個封裝行業(3-4%),半導體行業(4-5%),PCB行業(2-3%)以及全球電子產品工業(3-4%)和全球國內生產總值(2-3%)。發展最快的先進封裝技術是Fan-Out(36%),其次是2.5D/3DTSV(28%)。到2022年,扇出預計將超過3億美元,到2021年預計2.5D/3DTSV將超過1億美元。FC技術目前佔比仍然是最大的,2017年達到19.6億美元,佔先進包裝收入的81%。隨著Fan-Out封裝的滲透提升,到2020年預計FC市場份額將下降至74%。

具體看FOWLP市場,FOWLP市場包括兩個部分,一是單晶元扇出封裝(coreFO),應用於原先Fan-in無法應用的通訊晶元、電源管理IC等大宗應用市場;二是高密度扇出封裝(HDFO),FoWLP可作為多晶元、IPD或無源集成的SiP解決方案,應用於AP以及存儲晶元。如台積電的InFO技術在16nmFinFET上可以實現RF與Wi-Fi、AP與BB、GPU與網路晶元三種組合。

根據Yole數據,預計2017年FOWLP市場達到14億美元,2022年市場規模將上升到23億美元,未來年複合成長率達20%。

國內封測三強進入第一梯隊,搶先布局先進封裝

中國半導體要趕上世界先進水平大約還需要十年時間,但封裝技術門檻相對較低,國內發展基礎相對較好,所以封測業追趕速度比設計和製造更快。中國半導體第一個全面領先全球的企業,最有可能在封測業出現。

成長迅速,大陸封測三巨頭快速追趕。內生增長+外延併購雙向驅動,長電+華天+通富過去十年已經完成了基礎框架搭建,內生穩步快速增長;2014年以來,相繼華天收購美國FCI,長電收購星科金朋,通富微電收購AMD蘇州和檳城兩座工廠,完成規模體量的快速擴張。

根據拓墣產業研究院10月份的報告顯示,在專業封測代工的部分,2017年全球前十大專業封測代工廠商營收,前五名依次為日月光、安靠、長電科技、矽品和力成,後五名依次為:天水華天、通富微電、京元電、聯測和南茂科技。長電科技、華天科技、通富微電組成大陸封測三強。

封測產業高端化,技術上完成國產替代。國內封測產業已經具備規模和技術基礎。目前大陸廠商與業內領先廠商的技術差距正在縮小,基本已逐漸掌握最先進的技術,大陸廠商的技術劣勢已經不明顯。業內領導廠商最先進的技術大陸廠商基本已逐漸掌握,比如凸快技術、晶圓級封裝和3D堆疊封裝等。在應用方面,FC封裝技術大陸三大封測廠均已實現批量出貨,WLP晶圓級封裝也有億元級別的訂單,SiP系統級封裝的訂單量也在億元級別。

根據YoleDevelopment統計,2016年全球先進封裝供應商排名中,中國長電科技將以7.8%的市佔率超過日月光、安靠(Amkor)、台積電及三星等,成為全球第三大封裝供應商。

從短期看,日月光合併硅品,美國安靠收購日本J-Device,體量龐大,長電目前處於對星科金朋的整合消化期,華天和通富距離第一梯隊還有一段差距,短期難以從規模上超越。從長遠看,國內封測技術已經跟上全球先進步伐,隨著國內上游晶元設計公司的崛起,下游配套晶圓建廠邏輯的兌現,輔以國家政策和產業資本的支持,國內封測企業全面超越台系廠商,是大概率事件。

4.5、設備:星星之火,等待燎原

半導體集成電路製造過程及其複雜,需要用到的設備包括矽片製造設備、晶圓製造設備、封裝設備和輔助設備等。

矽片製造設備

以IC集成電路用的300毫米(12寸)大矽片為例,生產工藝流程如下:拉晶—滾磨—線切割—倒角—研磨—腐蝕—熱處理—邊緣拋光—正面拋光—清洗—外延—檢測。晶體生長設備直接決定了後續矽片的生產效率和質量,是矽片生產過程中的重中之重。矽片尺寸越大,純度越高,對生產工藝和設備的要求也就越高。目前國產單晶爐生產的矽片良率在50%左右,進口單晶爐能達到90%以上,國產設備在技術上還有較大提升空間。

晶盛機電是目前國內唯一能生產大尺寸單晶爐的廠商。目前在半導體級別8英寸單晶爐領域已成功實現進口替代,12英寸單晶爐也進入小批量產階段。

晶圓製造設備

在晶圓製造中,總共有七大生產區域,分別是擴散(ThermalProcess)、光刻(Photo-lithography)、刻蝕(Etch)、離子注入(IonImplant)、薄膜生長(DielectricDeposition)、拋光(CMP,即化學機械拋光)、金屬化(Metalization),共涉及7大類設備:擴散爐(氧化),光刻機,刻蝕機,離子注入機,薄膜沉積設備,化學機械拋光機和清洗機。

根據SEMI的數據,以一座投資規模為15億元美金的晶圓廠為例,晶圓廠70%的投資用於購買設備(約10億元美金),設備中的70%是晶圓的製造設備,封裝設備和測試設備佔比約為15%和10%。晶圓製造設備中,光刻機,刻蝕機,薄膜沉積設備為核心設備,分別占晶圓製造環節設備成本的30%,25%,25%。

美日荷三國壟斷,半導體設備行業集中度非常高

全球半導體設備十強裡面,只有美日荷三個國家的企業入圍。2016年前五大廠商應用材料、ASML、LamResearch、TokyoElectron和KLA-Tencor合計市場份額高達92%,其中應用材料AMAT市場佔有率為24%。

荷蘭ASML幾乎壟斷了高端領域的光刻機,市場份額高達80%。ASML新出的EUV光刻機可用於試產7nm製程,價格高達1億美元。AMAT在CVD設備和PVD設備領域都保持領先,LamResearch是刻蝕機設備領域龍頭。

國產設備星星之火可以燎原

隨著我國半導體產業持續快速發展,國內半導體設備業呈現出較快發展的勢頭。在國家科技重大專項以及各地方政府、科技創新專項的大力支持下,國產半導體設備銷售快速穩步增長,多種產品實現從無到有的突破,甚至有些已經通過考核進入批量生產,在國內集成電路大生產線上運行使用。

中電科:在離子注入機和CMP(化學機械拋光機)領域能力較強。

>離子注入機:2016年推出的45-22nm低能大束流離子注入機在2017年也在中芯國際產線進行驗證,驗證通過後,將會批量出貨,進一步提高中芯國際產線離子注入機國產化率。

>CMP:2017年11月21日,電科裝備自主研發的200mmCMP商用機完成內部測試,發往中芯國際天津公司進行上線驗證,這是國產200mmCMP設備首次進入集成電路大生產線。

北方華創:在氧化爐、刻蝕機、薄膜沉積設備和清洗設備領域能力較強。

>氧化爐:2017年11月30日,北方華創下屬子公司北方華創微電子自主研發的12英寸立式氧化爐THEORISO302MoveIn長江存儲生產線,應用於3DNANDFlash製程,擴展了國產立式氧化爐的應用領域。

>刻蝕機:2016年研發出了14nm工藝的硅刻蝕機,目前正在中芯國際研發的14nm工藝上驗證使用。2017年11月,研發的中國首台適用於8英寸晶圓的金屬刻蝕機成功搬入中芯國際的產線。

>薄膜沉積設備:28nm級別的PVD設備和單片退火設備領域實現了批量出貨,14nm級別的ALD,ALPVD,LPCVD,HMPVD等多種生產設備正在產線驗證中。

>清洗機:自研的12英寸單片清洗機產品主要應用於集成電路晶元製程,2017年8月7日成功收購Akrion公司後,北方華創微電子的清洗機產品線將得以補充,形成涵蓋應用於集成電路、先進封裝、功率器件、微機電系統和半導體照明等半導體領域的8-12英寸批式和單片清洗機產品線。

中微半導體:在介質刻蝕機、硅通孔刻蝕機以及LED用MOCVD領域能力較強。

>介質刻蝕機:目前已經可以做到22nm及其以下,14nm也在產線進行驗證,同時在推進5nm的聯合研究。

>硅通孔刻蝕機:主要用於集成電路晶元的TSV先進封裝。

>MOCVD:公司的MOCVD達到世界先進水平,實現了對美國的VEECO和德國的愛思強產品的進口替代,客戶為三安光電等led晶元廠商。截止2017年10月,其MOCVD設備PrismoA7機型出貨量已突破100台。

上海微電子:國內唯一的一家從事光刻機研發製造的公司。

目前製造用光刻機只能做到90nm,與主流65nm以下還有較大差距。不過,封裝使用的光刻機,達到1-2微米就可以使用,上海微電子研發製造的500系列步進投影光刻機,面向IC後道封裝和MEMS/NEMS製造領域,國內市場佔有率達80%以上。

盛美半導體:在清洗機領域能力較強。

公司的SAPS技術最高可以應用於65nm製程的矽片清洗;TEBO技術可以實現對FinFET,DRAM,3DNAND,實現覆蓋16nm-19nm的製程,產品已經批量應用於上海華力微電子的產線。此外,公司2017年5月在合肥投資3000萬美元建立研發中心,與合肥長鑫和兆易創新一起開發DRAM技術。

晶盛機電在半導體級8英寸單晶爐領域已成功實現進口替代。捷佳偉創、北京京運通、天通吉成的產品主要應用於光伏產業。

此外,長川科技在分選機、檢測機領域能力較強。2016年公司擁有機台產能合計400台,產量448台,銷售426台,產能利用率達112%,產銷率95.9%,實現產銷兩旺。

4.6、材料:先易後難,衝刺大矽片

集成電路製造過程中,每一個環節都離不開化學材料,按產業鏈工藝環節可以將半導體材料分為晶圓製造材料和封裝材料。

晶圓製造材料包括矽片、光罩、高純化學試劑、特種氣體、光刻膠、靶材、CMP拋光液和拋光墊等。

近年隨著出貨片數成長,中國半導體製造材料營收也由2013年230億美元成長到2016年的242億美元,年複合成長率約1.8%。從細項中可看出硅晶圓銷售佔比由2013年35%降到2016年的30%。根據拓墣產業研究院預計,2017年中國半導體材料市場,增長幅度將超過10%。

封裝材料包括引線框架、封裝基板、陶瓷封裝材料、鍵合絲、包裝材料、晶元粘結材料等,其中封裝基板是佔比最大。由於中國IC產業的快速發展,中國本土封裝企業近年來呈現快速增長,帶動中國半導體封裝材料市場規模快速擴大,智研諮詢預計中國市場半導體封裝材料2017年的市場規模為352.9億元,相比於2015年的261.3億元,增長35.06%。

國內廠商在小尺寸矽片、光刻膠、CMP材料、濺射靶材等領域已初有成效。比如8英寸矽片領域的金瑞泓、國盛電子和有研半導體,光刻膠相關領域的江化微,靶材領域的江豐電子和阿石創,CMP拋光材料的安集微電子和鼎龍股份。

在2016年中國半導體材料十強企業中,江豐電子、有研新材、上海新陽和江化微四家為上市公司。

江豐電子:國內高純濺射靶材的行業龍頭,產品包括鋁靶、鈦靶、鉭靶、鎢鈦靶等,主要應用於超大規模集成電路晶元、液晶面板、薄膜太陽能電池製造的物理氣相沉積(PVD)工藝,用於製備電子薄膜材料。

有研新材:主要從事稀土材料、高純材料和光電材料的生產和經營,子公司有研億金是國內少有的能夠生產金屬靶材的企業,逐步佔領了國內集成電路4-6英寸線市場的靶材,並正在進入8英寸線以上市場。

上海新陽:公司主導產品包括引線腳表面處理電子化學品和晶圓鍍銅、清洗電子化學品,參股子公司上海新昇是內地唯一具備12英寸大尺寸矽片製造能力的企業,目前有效產能為2萬片/月,已經實現試生產,項目的目標是在2018年6月達到15萬片/月的產能。目前,公司已經與中芯國際、武漢新芯、華力微電子三公司簽署了採購意向性協議,銷售前景明確。

江化微:公司主要生產超凈高純試劑、光刻膠及光刻膠配套試劑等專用濕電子化學品。

大尺寸矽片國產化指日可待

除了上海新昇之外,國內還有寧夏銀和、浙江金瑞泓、鄭州合晶、西安高新區項目等企業計劃或已開始建設12英寸大矽片的生產計劃,且合計月產能超過百萬片。

5.1、兆易創新:NorFlash&DRAM龍頭

公司是中國唯一的存儲晶元全平台公司。主要產品為NORFlash、NANDFlash及MCU,廣泛應用於手持移動終端、消費類電子產品、個人電腦及周邊、網路、電信設備、醫療設備、辦公設備、汽車電子及工業控制設備等各個領域。

牽手合肥產投,進軍DRAM領域。公司2017年10月與合肥產投簽署了《關於存儲器研發項目之合作協議》,將開展19nm製程工藝存儲器(含DRAM等)的研發項目,預算約為180億元人民幣,目標是在2018年底前研發成功。

收購思立微,形成MCU+存儲+交互解決方案。2018年3月,公司收購國內市場領先的智能人機交互解決方案供應商思立微,其產品以觸控晶元和指紋晶元等新一代智能移動終端感測器SoC晶元為主。本次交易將一定程度上補足公司在感測器、信號處理、演算法和人機交互方面的研發技術,提升相關技術領域的產品化能力,在整體上形成完整的MCU+存儲+交互系統解決方案,為上市公司進一步快速發展注入動力。

我們認為公司牽手合肥產投,進軍DRAM領域;入股中芯國際,形成虛擬IDM,提高產能擴充能力;收購思立微,形成MCU+存儲+交互解決方案,為上市公司進一步快速發展注入動力。建議關注。

風險提示:半導體行業景氣度下降,DRAM項目發展不及預期,收購思立微協同效應不及預期。

5.2、紫光國芯:打造NAND龍頭

紫光國芯是紫光集團旗下半導體行業上市公司。紫光集團有三個上市平台,分別為紫光股份、紫光國芯和ST紫學。紫光國芯主要產品包括智能晶元、特種行業集成電路和存儲器晶元。

DRAM已量產。公司的DRAM存儲器晶元已形成了較完整的系列,包括SDR、DDR、DDR2和DDR3,並開發相關的模組產品。目前,公司的DDR4內存模組已經開始量產並且能夠長期供貨。雖然目前產品產量很小,市場份額不大,但DRAM為國內稀缺,進口替代潛力空間大。此外,公司開發完成的NANDFlash新產品也已開始了市場推廣。

依託長江存儲打造NAND龍頭。2016年12月,公司公告稱紫光國芯擁有收購長江存儲股權的權利;2017年7月,公司公告稱長江存儲的存儲器晶元工廠項目投資規模較大,目前尚處於建設初期,短期內無法產生銷售收入,公司認為收購長江存儲股權的條件尚不夠成熟,終止收購長江存儲。我們認為,隨著條件成熟,不排除公司重啟收購的可能,屆時有望成為國內NAND龍頭。

我們認為公司DRAM已量產,進口替代潛力空間大;未來有望收購長江存儲,成為國內NAND龍頭。

5.3、聖邦股份:模擬晶元龍頭

公司是國內模擬晶元龍頭,專註於高性能、高品質模擬集成電路研發和銷售。公司的通用模擬IC產品性能優良、品質卓越,可廣泛應用於智能手機、PAD、數字電視、DVD、數碼相機、筆記本電腦、可穿戴式設備、各種消費類電子產品以及車載電子、工業控制、醫療設備、測試儀錶等眾多領域。

募投加碼電源管理類和信號鏈類模擬晶元。2017年6月6日,公司成功登陸深交所創業板,募集資金4.47億元,用於電源管理類模擬晶元開發及產業化項目、信號鏈類模擬晶元開發及產業化項目及研發中心建設項目等。

模擬晶元市場高速增長。根據ICInsights數據顯示,2017-2022年整體集成電路市場年複合增長率為5.1%。在集成電路市場的四大產品類別:模擬、邏輯、存儲和微元件中,模擬晶元市場增速最高達到6.6%。

公司發展進入快車道。一方面,作為國家重點培育和發展的戰略性新興產業的支撐和基礎,集成電路產業未來發展空間巨大;另一方面,公司經過多年發展,掌握了先進的模擬晶元設計與開發技術,產品品質達到世界先進水平,同時還擁有豐富的上下遊資源。公司有望在未來廣闊的模擬晶元行業市場搶佔制高點。

我們認為公司募投加碼電源管理類和信號鏈類模擬晶元,將受益於模擬晶元市場高速增長,公司發展進入快車道。

5.4、中芯國際:晶圓代工龍頭

中芯國際是世界領先的集成電路晶圓代工企業之一,也是中國內地規模最大、技術最先進的集成電路晶圓代工企業,提供0.35微米到28納米不同技術節點的晶圓代工與技術服務。公司之前憑藉高產能利用率推動收入和盈利雙增長,目前已進入戰略轉型期,為下一階段的成長準備好技術和工廠。

技術:梁孟松效應開始顯現,28nm與14nm進展順利。2017Q4營收中28nm佔比已經提升至11.3%。梁孟松上任後調整更新了FinFET規劃,3DFinFET工藝將鎖定高性能運算、低功耗晶元應用,目前正在積極進行中。14nm則目前於2019年上半年投產,相關產品將具備更高性能、成本更低、技術導入更容易,也更容易融入設備中。

工廠:中芯南方為14nm量產做好準備。2018年1月,中芯國際增資中芯南方,持股比例變為50.1%,國家大基金和上海集成電路基金分別擁有中芯南方27.04%和22.86%的股權,分別成為第二和第三大股東,預計之後6月和12月會再次進行外部注資10億美元。中芯南方產能就是專門為公司14nm準備,目標是產能達至每月35000片晶圓。

此外,公司營收來源越來越多樣化。2017年汽車和工業應用收入比2016年收入翻番。未來成長動力包括:28nm、快閃記憶體、指紋識別感測器和電源管理晶元、汽車和工業應用等。

我們認為公司在2017年28nm產品明顯放量標誌著其技術及良率瓶頸期突破,28nm營收貢獻將逐漸增加,未來相當長時間成為公司營收增長的主要來源。

5.5、長電科技:國內封測龍頭

公司是國內半導體封裝測試行業龍頭企業。通過併購星科金朋,公司躋身全球半導體封裝行業前三,同時形成了各具特色的七大基地。新加坡廠(SCS)擁有世界領先的Fan-outeWLB和高端WLCSP;韓國廠(SCK)擁有先進的SiP、高端的fcBGA、fcPoP;長電先進(JCAP)的主力產品有FO-WLP、WLCSP、fcBump;星科金朋江陰廠(JSSC)擁有先進的存儲器封裝;長電科技C3廠的主力產品有高引腳BGA、QFN產品和SiP模組;滁州廠以小信號分立器件、WB引線框架產品為主;宿遷廠以腳數較低的IC和功率器件為主。

原長電穩定增長,星科金朋快速回升。剔除收購星科金朋,近幾年公司的營收、利潤增長穩定。在高端領域,長電先進在全球WLCSP和Bumping的產能和技術上繼續保持領先優勢;在中低端領域,滁州和宿遷廠產品結構的進一步調整和產能利用率的提升。JSCK(長電韓國)得益於SIP等先進封裝新產品開發進展順利,訂單迴流效果顯著。星科金朋已完成上海廠向無錫搬遷工作,結束兩地生產運營,將大幅降低相關費用,盈利能力有望快速回升。

公司的封測龍頭地位將更加穩固。一方面,通過收購,長電科技的規模優勢和星科金朋的技術和客戶優勢實現互補,隨著整合進程逐步完成,協同效應逐漸顯現。另一方面,通過定增,大基金成為第一大股東,中芯國際成為第二大股東,虛擬IDM形式初露端倪,未來中芯國際和長電科技的上下游配套協同發展值得期待。全球半導體行業景氣度高企和全球晶圓廠向國內轉移是公司業績增長的重要驅動力,將使得長電科技的封測龍頭地位更加穩固。

我們認為公司作為國內封測龍頭,原長電保持穩定增長,星科金朋整合穩步推進,與中芯國際戰略合作,未來有望率先受益於行業景氣度高企和晶圓廠向大陸轉移,驅動公司快速發展。

5.6、華天科技:國內封測第二

公司集成電路年封裝規模和銷售收入均位列我國同行業上市公司第二位。公司三地布局,三地定位不同、技術不同、客戶不同,形成從低端、中高端到先進封裝的全覆蓋。天水廠夯實傳統引線框架封裝,進一步發揮規模優勢;西安廠主攻QFN和BGA等中高端封裝,導入指紋識別、MEMS、CPU等新產品封裝;崑山廠布局TSV、Bumping及FOWLP等先進封裝技術。

掌握多種先進封裝技術。公司通過實施國家科技重大專項02專項等科技創新項目以及新產品、新技術、新工藝的不斷研究開發,自主研發出FC、Bumping、MEMS、MCM(MCP)、WLP、SiP、TSV、Fan-Out等多項集成電路先進封裝技術和產品,隨著公司進一步加大技術創新力度,公司的技術競爭優勢將不斷提升。

新建產能釋放促進公司發展。公司三大募投項目到2017上半年分別完成了94.76%、98.08%和83.91%,非募投項目《FC+WB集成電路封裝產業化項目》完成了98.30%,投資順利、進展迅速,體現了公司優秀的項目把控能力。隨著新建產能的釋放,公司未來一段時期將繼續保持強勁增長。

我們認為公司已研發出多種先進封裝技術,隨著新建產能釋放,公司業績有望保持強勁的增長。

5.7、揚傑科技:分立器件龍頭

公司是國內分立器件IDM龍頭,主要產品包括二極體、整流橋、電力電子模塊等半導體功率器件,主要用於汽車電子、LED照明、太陽能光伏、通訊電源、開關電源、家用電器等多個領域。

內生驅動產品不斷升級。公司4寸產線產能擴產一倍,效率不斷提升;6寸產線已於2017年底實現盈虧平衡,2018年底可做到第一期滿產,有望持續提升盈利能力;戰略布局8寸線,MOSFET產品進展順利,最終目標實現IGBT晶元和IPM功率的模組突破。

外延驅動向上游整合。2017年12月,公司收購成都青洋電子,獲得穩定外延片供應,將IDM模式再向上游擴展。成都青洋年產1200萬片8英寸以下單晶硅切片、磨片和化學腐蝕片的生產線,產品質量及性能位於行業領先水平。業績承諾實現凈利潤為:2018年不低於1280萬元,2019年不低於1480萬元。

下游需求旺盛助力公司發展。隨著光伏及新能源汽車等下遊行業發展迅速,功率半導體市場需求旺盛。公司在光伏及新能源汽車領域深耕多年,產線對照行業標準建立,並且建立了好良好的客戶關係,有望快速導入相關產品。此外,公司戰略布局高端SiC晶元及器件,未來有望打開千億級市場空間。

我們認為公司內生驅動產品不斷升級,外延驅動向上游整合青洋電子,延伸到材料領域,下游需求旺盛將助力公司發展。

5.8、北方華創:設備龍頭

北方華創是由七星電子和北方微電子戰略重組而成,是目前國內集成電路高端工藝裝備的龍頭企業。公司擁有半導體裝備、真空裝備、新能源鋰電裝備及精密元器件四個事業群,為半導體、新能源、新材料等領域提供全方位整體解決方案。公司半導體裝備產品包括刻蝕設備、PVD設備、CVD設備、氧化/擴散設備、清洗設備、新型顯示設備、氣體質量流量控制器等。

半導體裝備各產品齊頭並進。氧化爐:2017年11月30日,公司自主研發的12英寸立式氧化爐THEORISO302MoveIn長江存儲生產線,應用於3DNANDFlash製程,擴展了國產立式氧化爐的應用領域。刻蝕機:2016年研發出了14nm工藝的硅刻蝕機,目前正在中芯國際研發的14nm工藝上驗證使用。2017年11月,研發的中國首台適用於8英寸晶圓的金屬刻蝕機成功搬入中芯國際的產線。薄膜沉積設備:28nm級別的PVD設備和單片退火設備領域實現了批量出貨,14nm級別的ALD,ALPVD,LPCVD,HMPVD等多種生產設備正在產線驗證中。清洗機:自研的12英寸單片清洗機產品主要應用於集成電路晶元製程,2017年8月成功收購Akrion公司後,公司的清洗機產品線將得以補充,形成涵蓋應用於集成電路、先進封裝、功率器件、微機電系統和半導體照明等半導體領域的8-12英寸批式和單片清洗機產品線。

真空裝備、鋰電裝備、精密元器件穩定發展。真空裝備:隨著新材料行業的發展,對超高溫、超高壓真空設備需求量的增加,目前公司已有多款產品面向新材料行業推出,已大量應用於國內、外一流企業。應用於光伏產業的單晶爐,公司進行了升級換代,裝料量實現了從50KG到300KG里程碑式的跨越,市場競爭力顯著提升。鋰電裝備:目前,公司已經為全國95%以上的鋰離子電池研究院所、生產企業提供了電池製造裝備,隨著新能源汽車行業快速發展,鋰電裝備業務有望深度收益。精密元器件:近年來,通過自主創新開發的高精密高穩定金屬膜固定電阻器、雙極性片式鉭電容器、石英晶體振蕩器、石英MEMS陀螺、負載點電源模塊等產品,以優異的性能獲得各界客戶的信賴,不斷實現進口替代。

我們認為公司作為半導體設備龍頭,各產品齊頭並進,真空裝備、鋰電裝備、精密元器件穩定發展。

5.9、長川科技:封測設備龍頭

公司是國內半導體封測設備龍頭,目前主要產品有兩類,分別是測試機和分選機。

產品高性價比贏得客戶。公司產品主要面向下游封裝測試企業、晶圓製造企業、晶元設計企業和測試代工廠等。在優異性能和高性價比等優勢的加持下,已獲得國內外客戶的使用和認可。傳統客戶包括長電科技、華天科技、通富微電、士蘭微、華潤微電子等,國際龍頭大廠日月光亦開始導入公司測試和分選設備,將為公司有效打開國際市場。

三大能力持續加強。1)新產品生產能力:積極拓展探針台、數字測試機等一系列新產品,深化客戶服務的廣度,打造完整的解決方案體系;2)新技術研發能力:面向未來五大方向開展前沿研究,包括模擬IC測試技術、高壓大功率測試技術、數字測試技術、多類別自動測試技術、多維度高速高精定位技術。通過以上技術的研發,公司將在測試技術演進的高速化、一體化、智能化過程中,優先卡位前沿發展方向,不斷拓寬設備的測試範圍;3)積極擴展技術和銷售服務團隊,保證客戶精準覆蓋,快速提升響應能力。

我們認為國內半導體產業處於加速發展階段,晶圓廠建設大幅提速,封測國產化進程加快,資本開支規模放大,公司的封測設備國產替代空間大。同時,公司未來將滲透更多的測試類相關產品,包括晶圓檢測用探針台,封裝用倒裝機、預封裝切割機等新設備,發展空間廣闊。

5.10、江豐電子:濺射靶材龍頭

公司是國內濺射靶材龍頭。公司主要產品為集成電路晶元製造用超高純金屬材料及濺射靶材,填補了國內的技術空白,打破了美、日跨國公司的壟斷。濺射靶材產品包括鋁靶、鈦靶、鉭靶、鎢鈦靶等,主要應用於超大規模集成電路晶元、液晶面板、薄膜太陽能電池製造的物理氣相沉積(PVD)工藝,用於製備電子薄膜材料。

靶材產品質量卓越,獲台積電認可。公司從2009年開始和台積電建立合作關係,持續向台積電6寸、8寸和12寸晶圓廠供應靶材。公司堅持技術創新和產品升級,持續向台積電供應優質的半導體濺射靶材,依靠卓越的品質和服務,依託緊密的技術交流,與台積電建立了相互信任、合作共贏的良好戰略關係,不斷擴大在台積電的市場份額。公司在台積電2017年第三季度靶材供應商品質評比中位列第一名。

國產化CMPPad贏得首張訂單。CMP研磨墊具有產品驗證周期長、國外寡頭壟斷等特點。2016年公司與美國嘉柏合作CMPPad項目,並成功取得了本土主流晶元生產廠商的認證。2017年11月,公司贏得了第一張國產CMP研磨墊的訂單。

我們認為公司作為國內濺射靶材龍頭,靶材產品質量卓越獲台積電認可,國產化CMPPad贏得首張訂單,有望推動公司業績增長。建議關注。

風險提示:半導體行業景氣度下降,公司CMPPad產品推進不及預期。

5.11、上海新陽:材料龍頭

公司是國內半導體材料龍頭企業。公司主要產品包括引線腳表面處理電子化學品和晶圓鍍銅、清洗電子化學品,可廣泛應用於半導體製造、封裝領域。

持續鞏固半導體材料龍頭地位。公司逐步形成了其在晶圓級封裝領域材料和設備的配套優勢。在傳統封裝領域,公司晶圓劃片刀產品從2017年開始逐步放量,已經實現盈利。在半導體製造領域,晶圓化學品持續放量繼續保持高速增長,晶圓化學品已經進入中芯國際、無錫海力士、華力微電子、通富微電、蘇州晶方、長電先進封裝等客戶,其中在晶元銅互連電鍍液產品方面已經成為中芯國際28nm技術節點的Baseline,無錫海力士32nm技術節點的Baseline;用於晶圓製程的銅製程清洗液和鋁製程清洗液也都分別開始供貨。此外,已經被台灣積體電路製造公司(TSMC)列入合格供應商名錄,並正在進行產品驗證。在IC封裝基板領域,上海新陽的電鍍銅添加劑產品仍處於少量供貨階段。另外,參股子公司新陽硅密(上海)半導體技術有限公司的晶圓濕製程設備已經進入中芯國際等客戶。

大矽片項目值得期待。公司參股子公司上海新昇半導體科技有限公司300mm大矽片項目,一期15萬片/月的產能,預計在2018年年中實現達產。總規劃產能為60萬片/月,預計在2021年實現滿產。公司從2017年第二季度已經開始向中芯國際等晶元代工企業提供樣片進行認證,擋片、陪片、測試片等產品已實現銷售。目前,公司已經與中芯國際、武漢新芯、華力微電子三家公司簽署了採購意向性協議,如果大矽片進展順利,將有望成為公司業績增長的重要驅動力。

我們認為公司在半導體材料領域龍頭地位顯著,各項產品進展順利;大矽片項目值得期待,將有望成為公司業績增長的重要驅動力。

聲明:本文內容來光大電子團隊,版權歸原作者所有,旨在傳遞更多有價值的信息。本文言論不代表芯師爺觀點,請讀者僅作參考,如有任何問題請在評論區留言,謝謝您的閱讀。


喜歡這篇文章嗎?立刻分享出去讓更多人知道吧!

本站內容充實豐富,博大精深,小編精選每日熱門資訊,隨時更新,點擊「搶先收到最新資訊」瀏覽吧!


請您繼續閱讀更多來自 芯師爺 的精彩文章:

Amphenol ICC:業務重心已轉向中國!
紫光展銳創「芯」亮相CITE 2018

TAG:芯師爺 |