當前位置:
首頁 > 最新 > 全球半導體設備格局及趨勢最全解讀!

全球半導體設備格局及趨勢最全解讀!

半導體行業技術高、進步快,一代產品需要一代工藝,而一代工藝需要一代設備。SEMI 預計 2020 年半導體設備市場將增長 20.7%,達到 719 億美元,創歷史新高。2017 年中國大陸市場需求規模約佔全球的 15%左右, 2020 年預計佔比將達到 20%,約 170 億美元。全球半導體設備市場集中度高,主要有美日荷廠商壟斷,國內自給率僅有 5%左右,國產替代空間巨大。

隨著摩爾定律趨近極限,半導體行業技術進步放緩,國內廠商與全球龍頭技術差距正在逐漸縮短,我們認為未來 3-5 年將是半導體設備國產替代黃金戰略機遇期。

全球壟斷,02 專項頂層設計求突破

半導體行業技術高、進步快,一代產品需要一代工藝,而一代工藝需要一代設備。半導體產業技術進步主要有兩大方向:一是製程越小晶體管越小 相同面積上的元件數越多性能越高產品越好;二是矽片直徑越大矽片面積越大單個晶圓上晶元數量越多效率越高成本越低。

資料來源:《中國集成電路產業投融資研究》周子學

半導體工藝流程主要包括單晶矽片製造、IC 設計、IC 製造和 IC 封測。單晶矽片製造需要單晶爐等設備,IC 製造需要光刻機、刻蝕機、薄膜設備、擴散離子注入設備、濕法設備、過程檢測等六大類設備。半導體設備中,晶圓代工廠設備採購額約佔 80%,檢測設備約佔 8%,封裝設備約佔 7%,矽片廠設備等其他約佔 5%。

半導體工藝流程

資料來源:清科研究中心

一般情況下,不同的晶圓尺寸和製程的 IC 製造產線所需的設備數量不同。以每 1 萬片/月產能計算,12 寸產線所需的設備數量要比 8 寸產線多,12 寸先進位程產線所需的設備數量要比 12 寸成熟製程產線設備多。

半導體設備屬於高端製造裝備,其價值量較高。比如高端 EUV 光刻機單價甚至超過 1 億美金。總體上看,IC 製造設備市場中刻蝕機、光刻機、薄膜設備的價值量佔比較高。

半導體製造前道設備市場規模(百萬美元)

資料來源:《台積電南京專項環評報告》,中芯國際

2020 全球預計超 700 億美元,中國大陸佔比超 20%

2020 年全球半導體設備市場規模預計超 700 億美元。根據 2018 年 12 月 12 日 SEMI 在SEMICON Japan 2018 展覽會上發布年終預測報告顯示,2018 年新的半導體製造設備的全球銷售額預計將增加 9.7%達到 621 億美元,超過2017 年創下的566 億美元的歷史新高。預計2019 年設備市場將收縮4.0%,至 596 億美元,但 2020 年將增長 20.7%,達到 719 億美元,創歷史新高。

2020 年中國大陸市場規模佔比超 20%,約 170 億美元。根據 SEMI 數據,2017 年中國大陸半導體設備銷售額 82.3 億美元,同比增長 27%,約佔全球的 15%,預計 2020 年佔比將超過 20%,約 170 億美元。

全球半導體設備銷售額及增速預測(2018.12

資料來源:SEMI 2018.12

半導體設備市場集中度高,CR10 超 60%。全球半導體設備生產企業主要集中於歐美、日本、韓國和我國台灣地區等,以美國應用材料、荷蘭阿斯麥、美國泛林集團、日本東京電子、美國科天等為代表的國際知名企業起步較早, 經過多年發展,憑藉資金、技術、客戶資源、品牌等方面的優勢,佔據了全球集成電路裝備市場的主要份額。

2017年半導體製造設備前10

資料來源:Gartner

2017年半導體設備競爭格局

資料來源:Gartner 2017

國產設備自給率低,技術加速追趕

國產設備自給率低,進口替代空間大。供給端看,根據中國電子專用設備工業協會對國內 42 家主要半導體設備製造商的統計,2017 年國產半導體設備銷售額為 89 億元,自給率約為 14.3%。中國電子專用設備工業協會統計的數據包括 LED、顯示、光伏等設備,我們認為實際上國內集成電路 IC 設備國內市場自給率僅有 5%左右,在全球市場僅占 1-2%。

專項頂層設計,技術加速追趕。2002 年之前,我國集成電路設備基本全進口,中國只有 3 家集成電路設備廠商,由北方微電子、北京中科信和上海微電子分別承接國家「863」計劃中的刻蝕機、離子注入機和光刻機項目。2006 年,《國家中長期科學和技術發展規劃綱要(2006-2020 年)》設立國家科技重大專項——極大規模集成電路製造裝備及成套工藝科技項目(簡稱 02 專項)研發國產化設備,並於 2008 年開始實施。2008 年之前我國 12英寸國產設備為空白,只有 2 種 8 英寸設備。

國家支持集成電路產業發展的部分重點政策

資料來源:賽迪智庫在02 專項的統籌

規划下,國內半導體廠商分工合作研發不同設備,涵蓋了主要設備種類。目前已有 20 種晶元製造關鍵裝備、17 種先進封裝設備,通過大生產線驗證進入海內外銷售。

02專項支持下國產設備廠商產品布局

資料來源:《中國集成電路產業發展藍皮書》中國電子信息產業發展研究院

國內 IC 製造設備工藝覆蓋率仍比較低,國產廠商技術加速追趕。國產全部IC 設備在邏輯 IC 產線上 65/55nm 工藝覆蓋率才 31%,40nm 工藝覆蓋率僅17%,28nm 工藝覆蓋率僅 16%;在存儲晶元產線上的工藝覆蓋率大概約為15-25%。

隨著摩爾定律放緩,國產廠商技術加速追趕。以北方華創刻蝕機為例,2007 年研發出 8 寸 100nm 設備,比國際大廠晚 8 年;2011 年研發出 12 寸 65nm 設備,比國際大廠晚 6 年;2013 年研發出 12 寸 28nm 設備,比國際大廠晚 3~4 年;2016 年研發 12 寸 14nm 設備,比國際大廠晚 2~3 年。

北方華創刻蝕機研發進展

資料來源:北方華創官網


矽片是半導體、光伏電池生產的主要原材料,90%以上的集成電路都是製作在高純、優質的矽片上的。

1、半導體矽片的製造難度大於光伏矽片。半導體矽片純度要求達到 99.99999999999%,即 11 個 9 以上,而普通太陽能矽片製造難度大,設備種類多級多晶硅材料純度通常在5-8 個 9 左右。

2、矽片直徑越大製造難度越大。矽片製備工藝流程包括:單晶生長截斷外徑滾磨(定位槽或參考面處理)切片倒角表面磨削(刻蝕)邊緣拋光雙面拋光單面拋光最終清洗(外延/退火)包裝等。

矽片製造流程

資料來源:《半導體製造技術》韓鄭生

矽片直徑的增大可降低單個晶元的製造成本,目前 300mm 矽片已成為業內主流,2017 年全球 12 寸出貨面積約佔矽片總體的 66.1%。

現在:政策需求雙輪驅動,大矽片國產化指日可待。根據 IC Insights 2017數據,2017 年全球矽片需求 1160 萬片(等效 8 寸),國內需求 110 萬片。預計 2020 年國內對 12 寸大矽片需求從 42 萬片增加到 105 萬片;2020 年對 8 寸矽片需求從 70 萬片增加到 96.5 萬片。受政策鼓勵與市場需求的雙重驅動,多家企業正在中國積極布局半導體大矽片項目。國內規劃中的 12 寸大矽片合計:145 萬片,覆蓋國內需求。國內規劃中的 8 寸大矽片合計:168 萬片,總投資規模超過 500 億元,覆蓋國內需求。

過去:受市場需求不足的影響,產業化推進較為緩慢。我國的矽片製備設備經過了 30 多年的發展,已可提供直徑 200mm 以下的矽片製備設備,但受市場需求量較少和國外二手設備的衝擊,國產設備發展的門類並不齊全。在300mm 矽片製備設備的發展上,國內研發了單晶爐、多線切割機等幾種關鍵設備,也通過了 300mm 矽片生產試驗線的驗證。但與國外設備相比,受市場需求不足的影響,產業化推進較為緩慢,同時也影響了設備技術的進步。

矽片設備產業化推進加快,國產廠商迎來發展良機。單晶爐方面,晶盛機電承擔的 02 專項「300mm 硅單晶直拉生長設備的開發」、「8 英寸區熔硅單晶爐國產設備研製」兩大項目均已通過專家組驗收,8 寸直拉單晶爐和區熔單晶爐均已實現產業化,客戶包括有研半導體、環歐半導體、金瑞泓等;12 寸直拉單晶爐產業化推進中,未來有望為國內大矽片項目供貨。南京晶能 12 寸直拉單晶爐已進入新昇半導體大矽片產線。

光刻機發展歷史

在集成電路製造工藝中,光刻是決定集成電路集成度的核心工序,該工序的 作用是將電路圖形信息從掩模版上保真傳輸、轉印到半導體材料襯底上。光 刻工藝的基本原理是,利用塗敷在襯底表面的光刻膠的光化學反應作用,記 錄掩模版上的電路圖形,從而實現將集成電路圖形從設計轉印到襯底的目的。

光刻工藝的基本原理

資料來源:SMEE

光刻機分為無掩模光刻機和有掩模光刻機兩大類。

無掩模光刻機又稱直寫光刻機,按照所採用的輻射源的不同可分為電子束直寫光刻機、離子束直寫光刻機、激光直寫光刻機,分別用於不同的特定應用領域。例如,電子束直寫光刻機主要用於高解析度掩模版、集成電路原型驗證晶元的製造,以及特種器件的小批量製造;激光直寫光刻機主要用於特定的小批量晶元的製造。

光刻機分類

資料來源:SMEE

有掩模光刻機又分為接觸/接近式光刻機和投影式光刻機。接觸式光刻出現於20 世紀 60 年代,是小規模集成電路(SSI)時代的主要光刻手段,主要用於生產製程在 5μm 以上的集成電路。接近式光刻機於 20 世紀 70 年代在小規模集成電路與中規模集成電路(MSI)時代早期被廣泛應用,主要用於生產製程在 3μm 以上的集成電路。目前接觸接近式光刻機的國外生產商主要有德國的蘇斯公司、奧地利 EVG 公司,國內生產商主要有中電科 45 所、中科院光電技術研究所等。

接觸/接近式光刻機和投影式光刻機

資料來源:SMEE

投影光刻機自 20 世紀 70 年代中後期開始替代接觸接近式光刻機,是先進集成電路大批量製造中的唯一光刻形式。早期的投影光刻機的掩模版與襯底 圖形尺寸比例為 1:1,通過掃描方式完成整個襯底的曝光過程。

隨著集成電路特徵尺寸的不斷縮小和襯底尺寸的增大,縮小倍率的步進重複光刻機問世, 替代了圖形比例為 1:1 的掃描光刻方式。當集成電路圖形特徵尺寸小於0.25μm 時,由於集成電路集成度的進一步提高,晶元面積更大,要求一次曝光的面積增大,促使更為先進的步進掃描光刻機問世。

通過配置不同的曝 光光源,步進掃描技術可支撐不同的工藝技術節點,從 KrF248mm 、ArF193mm、ArF193mm 浸沒式,直至 EUV 光刻。在 0.18μm 工藝節點後, 高端光刻機廠商基本採用步進掃描技術,並一直沿用至今。

投影光刻機的基本解析度R=K1*λ/NA,其中 K1 為工藝因子,根據衍射成像原理,其理論極限值是 0.25;NA 為光刻機成像物鏡的數值孔徑;λ 為所使用的光源的波長。提高投影光刻機解析度的理論和工程途徑是增大數值孔徑NA,縮減波長 λ,減小 K1。

為了提高光刻解析度,在採用準分子光源後進一步縮短曝光波長,引入波長10~14mm 的極紫外光 EUV 作為曝光光源。EUV 光刻機研發難度及費用極大,英特爾、三星和台積電都曾對光刻機龍頭 ASML 投資,以支持 EUV 光刻設備研發,並希望取得EUV 設備的優先權。ASML 從事 EUV 光刻機的研製已是第 12 個年頭了,甚於「十年磨一劍」。2017 年,姍姍來遲的 EUV 光刻機終於進入了量產階段。

ASML光刻機發展歷程

資料來源:ASML

光刻機競爭格局

步進掃描投影光刻機的主要生產廠商包括ASML(荷蘭)、尼康(日本)、佳能(日本)和SMEE (中國)。ASML 於 2001 年推出了 TWINSCAN 系列步進掃描光刻機,採用雙工件台系統架構,可以有效提高設備產出率,已成為應用最為廣泛的高端光刻機。ASML 在光刻機領域一騎絕塵,一家獨佔全球 70%以上的市場份額。國內廠商上海微電子 (SMEE)研製的 90nm 高端步進掃描投影光刻機已完成整機集成測試,並在客戶生產線上進行了工藝試驗。

步進式掃描光刻機主要廠商機型信息

資料來源:SMEE

晶圓製造設備——刻蝕機


刻蝕原理及分類

刻蝕是使用化學或者物理方法有選擇地從矽片表面去除不需要材料的過程。通常的晶圓加工流程中,刻蝕工藝位於光刻工藝之後,有圖形的光刻膠層在刻蝕中不會受到腐蝕源的顯著侵蝕,從而完成圖形轉移的工藝步驟。

刻蝕原理示意圖

資料來源:《半導體製造技術》MichaelQuirk

刻蝕分為濕法刻蝕和干法刻蝕兩種。早期普遍採用的是濕法刻蝕,但由於其在線寬控制及刻蝕方向性等多方面的局限,3μm 之後的工藝大多採用干法刻蝕,濕法刻蝕僅用於某些特殊材料層的去除和殘留物的清洗。

干法刻蝕也稱等離子刻蝕。干法刻蝕是指使用氣態的化學刻蝕劑(Etchant) 與圓片上的材料發生反應,以刻蝕掉需去除的部分材料並形成可揮發性的反應生成物,然後將其抽離反應腔的過程。刻蝕劑通常直接或間接地產生於刻蝕氣體的等離子體,所以干法刻蝕也稱等離子體刻蝕。

等離子體刻蝕機可以根據等離子體產生和控制技術的不同而大致分為兩大類,即電容耦合等離子體(capacitively coupled plasma,CCP)刻蝕機和電感耦合等離子體(Inductively coupled plasma,ICP)刻蝕機。在集成電路生產線上,等離子體刻蝕設備通常按照被刻蝕材料的種類分為硅刻蝕設備、金屬刻蝕設備和電介質刻蝕設備三大類。

CCP 刻蝕機主要用於電介質材料的刻蝕工藝,如邏輯晶元工藝前段的柵側牆和硬掩模刻蝕,中段的接觸孔刻蝕,後段的鑲嵌式和鋁墊刻蝕等,以及在 3D 快閃記憶體晶元工藝(以氮化硅/氧化硅結構為例)中的深槽、深孔和連線接觸孔的刻蝕等。

ICP 刻蝕機主要用於硅刻蝕和金屬刻蝕,包括對硅淺溝槽(STI)、鍺(Ge)、多晶硅柵結構、金屬柵結構、應變硅(Strained-Si)、金屬導線、金屬焊墊(Pad)、鑲嵌式刻蝕金屬硬掩模和多重成像(Multiple Patteming)技術中的多道工序的刻蝕等。另外,隨著三維集成電路(3D IC)、CMOS 圖像感測器(CIS)和微機電系統(MEMS)的興起,以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蝕應用的快速增加,多個廠商推出了專為這些應用而開發的刻蝕設備。

隨著工藝要求的專門化、精細化,刻蝕設備的多樣化,以及新型材料的應用, 上述分類方法已變得越來越模糊。除了集成電路製造領域,等離子體刻蝕還被廣泛用於 LED、MEMS 及光通信等領域。

刻蝕機行業發展趨勢及競爭格局

隨著晶元集成度的不斷提高,生產工藝越來越複雜,刻蝕在整個生產流程中的比重也呈上升趨勢。因此,刻蝕機支出在生產線設備總支出中的比重也在增加。而刻蝕機按刻蝕材料細分後的增長速度,則根據工藝技術的發展階段不同呈現此消彼長的狀況。例如,當 0.13μm 工藝的銅互連技術出現時,金屬刻蝕設備的佔比大幅下降,而介質刻蝕設備的佔比大幅上升;30nm 之後的工藝中出現的多重圖像技術及越來越多的軟刻蝕應用,則使得硅刻蝕設備的佔比快速增加。

國際巨頭泛林集團、東京電子、應用材料均實現了硅刻蝕、介質刻蝕、金屬刻蝕的全覆蓋,佔據了全球干法刻蝕機市場的 80%以上份額。國內廠商中微半導體在介質刻蝕領域較強,其產品已在包括台積電、海力士、中芯國際等。

晶元生產商的 20 多條生產線上實現了量產;5nm 等離子體蝕刻機已成功通過台積電驗證,將用於全球首條 5nm 工藝生產線;同時已切入 TSV 硅通孔刻蝕和金屬硬掩膜刻蝕領域。北方華創在硅刻蝕和金屬刻蝕領域較強,其55/65nm 硅刻蝕機已成為中芯國際Baseline 機台,28nm 硅刻蝕機進入產業化階段,14nm 硅刻蝕機正在產線驗證中,金屬硬掩膜刻蝕機攻破 28-14nm 製程。

晶圓製造設備——薄膜生長設備

採用物理或化學方法是物質(原材料)附著於襯底材料表面的過程即為薄膜生長。薄膜生長廣泛用於集成電路、先進封裝、發光二極體、MEMS、功率器件、平板顯示等領域。

薄膜生長工藝類型

資料來源:北方華創《集成電路專用設備-薄膜設備》

根據工作原理的不同,集成電路薄膜沉積可分為物理氣相沉積(PVD)、化學氣相沉積(CVD)和外延三大類。

PVD 是指利用熱蒸發或受到粒子轟擊時物質表面原子的濺射等物理過程,實現物質原子從源物質到襯底材料表面的物質轉移,從而在襯底表面沉積形成薄膜的技術。PVD 可以分為真空蒸鍍和濺射兩種類型。目前蒸鍍主要應用於LED 電極製造,而主流 IC 製造領域已經不再採用此類設備進行薄膜製備。濺射 PVD 廣泛應用於集成電路後道互連工藝中金屬薄膜製備。

磁控直流DCPVD 可用於平面薄膜製備,如鋁互連和 28nm 氮化鈦硬掩膜,但在銅互連中應用減少。離子化 PVD 是磁控 DCPVD 中的一種新技術,可用於鋁互連的隔離層、鎢栓塞的粘附層,以及銅互連中的隔離層和籽晶層。同時,離子化PVD 和金屬CVD 腔室可以結合在一個系統中,比如鎢栓塞的粘附層(鈦離子PVD)和隔離層(氮化鈦 CVD)。

薄膜生長設備

資料來源:北方華創《集成電路專用設備-薄膜設備》

CVD 是通過混合化學氣體並發生化學反應,從而在襯底表面沉積薄膜的一種工藝,用於沉積的材料包括金屬材料(W, TIN, Co)、介電材料(Si02、Si, N4、摻磷二氧化硅、摻硼磷二氧化硅)和半導體材料(多晶硅、無晶硅)等。

在微米技術代,化學氣相沉積均採取多片式的常壓化學氣相沉積設備(APCVD),其結構比較簡單,圓片的傳輸和工藝是連續的。

1、在亞微米技術代,低壓化學氣相沉積設備(LPCVD)成為主流設備, 其工作壓力大大降低,從而改善了沉積薄膜的均勻性和溝槽覆蓋填充能力。

2、從 90nm 技術代開始,等離子體增強化學氣相沉積設備(PECVD) 扮演了重要的角色。由於等離子體的作用,化學反應溫度明顯降低, 薄膜純度得到提高,薄膜密度得以加強。

3、從 180nm 技術代開始,Cu 取代 Al 作為金屬互連材料。金屬栓塞工藝模塊中的 TIN 阻擋層和W 栓塞均是採用金屬化學氣相沉積(金屬 Metal-CVD)完成的。

4、從 45nm 技術代開始,為了減小器件的漏電流,新的高介電材料(High k)材料及金屬柵(Metal Gate)工藝被應用到集成電路工藝中, 由於膜層非常薄,通常在數納米量級內,所以不得不引入原子層沉積(ALD)的工藝設備,以滿足對薄膜沉積的控制和薄膜均勻性的需求。

5、MOCVD 主要用於製備半導體光電子、微電子器件領域的各種砷化鎵、氮化鎵等三五族化合物,在 LED、激光器、高頻電子器件和太陽能電池等領域具有規模化生產的能力。

外延是一種在晶片等單晶襯底上按照襯底晶向生長單晶薄膜的工藝過程。根據外延生長材料的不同,外延可以分為同質外延和異質外延。同質外延是指生長的外延層和襯底是同一種材料,異質外延是指外延生長的薄膜材料與襯底材料不同,如 SPS 技術(在藍寶石或尖晶石上生長硅)。根據外延技術可分為分子束外延、氣相外延、液相外延等。MOCVD 也可作為氣相外延的一種。

PVD 領域,AMAT 一家獨大,約佔全球市場份額的 80%以上;CVD 領域, AMAT、LAM、TEL 三家約佔全球市場份額的 70%以上。國內設備廠商中北方華創薄膜設備產品種類最多,目前其 28nm 硬掩膜 PVD 已實現銷售,銅互連PVD、14nm 硬掩膜PVD、Al PVD、LPCVD、ALD 設備已進入產線驗證。中微半導體的 MOCVD 在國內已實現國產替代。瀋陽拓荊的 65nm PECVD 已實現銷售。

晶圓製造設備——擴散及離子注入設備

在集成電路製造過程中,摻雜主要有擴散和離子注入兩種工藝,擴散屬於高溫工藝,而離子注入工藝屬於低溫工藝。

擴散工藝是向硅材料中引人雜質的一種傳統方法,控制圓片襯底中主要載流子的類型、濃度和分布區域,進而控制襯底的導電性和導電類型。擴散工藝設備簡單,擴散速率快,摻雜濃度高,但擴散溫度高,擴散濃度分布控制困難,難以實現選擇性擴散。

離子注入工藝是指使具有一定能量的帶電粒子(離子)高速轟擊硅襯底並將其注入硅襯底的過程。離子注入能夠在較低的溫度下,可選擇的雜質種類多, 摻雜劑量控制準確,可以向淺表層引人雜質,但設備昂貴,大劑量摻雜耗時較長,存在隧道效應和注人損傷。

資料來源:光大證券研究所整理

擴散爐分類及競爭格局

擴散爐廣泛用於分立器件、電力電子、光電器件和光導纖維等行業的擴散、氧化、退火、合金等工藝中,因此按照功能不同,有時也稱擴散爐為退火爐、氧化爐。擴散爐主要分為卧式擴散爐和立式擴散爐。

卧室擴散爐(一般用於8英寸以下)

資料來源:北方華創《集成電路專用設備-擴散爐設備》

卧式擴散爐是一種在圓片直徑小於 200mm 的集成電路擴散工藝中大量使用的熱處理設備,其特點是加熱爐體、反應管及承載圓片的石英舟(Quartz Boat) 均呈水平放置,因而具有片間均勻性好的工藝特點。

立式擴散爐(一般用於812英寸)

資料來源:北方華創《集成電路專用設備-擴散爐設備》

立式擴散爐泛指應用於直徑為 200mm 和 300mm 圓片的集成電路工藝中的- 種批量式熱處理設備,俗稱立式爐。立式擴散爐的結構特點是,加熱爐體、反應管及承載圓片的石英舟均垂直放置(圓片呈水平放置狀態),具有片內均勻性好、自動化程度高、系統性能穩定的特點,符合SEMI 標準要求,可以滿足大規模集成電路生產線的需求。立式擴散爐是半導體集成電路生產線的重要設備之一,也常應用於電力電子器件(如 ICBT)等領域的相關工藝。立式擴散適用的工藝包括干氧氧化、氫氧合成氧化、DCE (二氯乙烯)氧化、氨氧化硅氧化等氧化工藝,以及二氧化硅、多晶硅(Poly-si)、氮化硅(SiN)、原子層沉積(ALD)等薄膜生長工藝,也常用於高溫退火、銅退火(Cu Anneal) 及合金(Alloy)等工藝。

擴散設備方面,卧室擴散爐較為簡單,國內基本能實現自給自足,設備廠商主要有北方華創、中電科第 48 所等。立式擴散/氧化爐設備門檻較高,全球主要廠商有東京電子(TEL)、日立國際(HKE)等,單台平均售價約為 80 萬美元,國內仍主要依賴進口,只有北方華創公司能夠小批量提供 300mm 立式爐產品。

傳統的退火爐使用類似卧式擴散爐的爐管系統,一般用於直徑小於 200mm 的晶圓製造。而 200mm 或者 300mm 的大尺寸晶圓一般採用立式爐及單片快速熱處理(RTP)設備。相對於爐管加熱退火,RTP 具有熱預算少,摻雜區域中雜質運動範圍小,沾污小和加工時間短等優點。RTP 設備門檻高,主要由應用材料公司、Axcelis Technology、Mattson Technology 和 ASM 等 4 家公司壟斷,約佔全球 90%的市場份額。

離子注入機是集成電路裝備中較為複雜的設備之,根據注入離子的能量和劑量的不同,離子注入機大體分為低能大束流離子注入機、中束流離子注入機和高能離子注入機 3 種類型。其中,低能大束流離子注入機是目前佔有率最高的注入機,適用於大劑量及淺結注入,如源漏極擴展區注入、源漏極注入、柵極摻雜以及預非晶化注入等多種工藝。中束流離子注入機可應用於半導體製造中的溝道、阱和源漏極等多種工藝。高能離子注入機在邏輯、存儲、成像器件、功率器件等領域應用廣泛。

離子注入設備廠商主要有美國的 AMAT、Axcelis 等。國內生產線上使用的離子注入機多數依賴進口,國內北京中科信、中電科 48 所、上海凱世通等也能提供少量產品。其中,中科信公司已具備不同種類(低能大束流、中束流和高能)離子注入機上線機型的量產能力。

晶圓製造設備——濕法設備

濕法工藝是指在集成電路製造過程中需要使用化學藥液的工藝,主要有濕法清洗、化學機械拋光和電鍍三大類。

濕法清洗是指針對不同的工藝需求,採用特定的化學藥液和去離子水,對圓片表面進行無損傷清洗,以去除集成電路製造過程中的顆粒、自然氧化層、有機物、金屬污染、犧牲層、拋光殘留物等物質。

清洗機主要分為槽式清洗機和單圓片清洗機。槽式清洗技術是由美國無線電公司(RCA)於 1970 年提出的,它是通過多個化學槽體、去離子水槽體和乾燥槽體的配合使用,完成圓片清洗工藝。

隨著 28nm 及更先進工藝的濕法清洗對圓片表面小顆粒的數量及刻蝕均勻性的要求越來越高,同時必須達到圖形無損乾燥。而槽式圓片清洗機的槽體內部化學藥液的差異性、乾燥方式,以及與圓片接觸點過多,導致無法滿足這些工藝需求,現已逐漸被單圓片清洗機取代,目前槽式圓片清洗機在整個清洗流程中約佔 20%的步驟。

槽式圓片清洗機主要廠商有日本的迪恩士(SCREEN)、東京電子(Tokyo Electron)和 JET,三家約佔全球 75%以上的市場份額。韓國的 SEMES 和KCTECH 主要供給韓國市場。

單圓片清洗設機主要廠商有日本的迪恩士、東京電子和美國泛林集團提供, 三家約佔全球 70%以上的市場份額。在國內的單圓片濕法設備廠商中,盛美半導體獨家開發的空間交變相位移(SAPS)兆聲波清洗設備和時序氣穴振蕩控制(TEBO)兆聲波清洗設備已經成功進入韓國及中國的集成電路生產線並 用於大規模生產。北方華創的清洗機也成功進入中芯國際生產線。

(SAPS)兆聲波清洗設備技術原理

資料來源:盛美半導體《集成電路專用設備-濕法設備》

化學機械拋光(CMP)是指圓片表面材料與研磨液發生化學反應時,在研磨頭下壓力的作用下進行拋光,使圓片表面平坦化的過程。

圓片表面材料包括多晶硅、二氧化硅、金屬鎢、金屬銅等,與之相對應的是不同種類的研磨液。

化學機械拋光能夠將整個圓片高低起伏的表面研磨成一致的厚度,是一種圓片全局性的平坦化工藝。

CMP 工藝在晶元製造中的應用包括淺溝槽隔離平坦化(STI CMP)、多晶硅平坦化(Poly CMP)、層間介質平坦化(ILD CMP)、金屬間介質平坦化(IMDCMP)、銅互連平坦化(Cu CMP)。

CMP 設備主要分為兩部分,即拋光部分和清洗部分。拋光部分由 4 部分組成,即 3 個拋光轉盤和一個圓片裝卸載模塊。清洗部分負責圓片的清洗和甩干,實現圓片的「干進干出」。

化學機械拋光(CMP)原理

資料來源:盛美半導體《集成電路專用設備-濕法設備》

化學機械拋光(CMP)配套設備

資料來源:盛美半導體《集成電路專用設備-濕法設備》

CMP 設備主要生產商有美國 AMAT 和日本 Ebara,其中 AMAT 約佔 CMP 設備市場 60%的份額,Ebara 約佔 20%的份額。國內 CMP 設備的主要研發單位有天津華海清科和中電科 45 所,其中華海清科的拋光機已在中芯國際生產線上試用。

電鍍是指在集成電路製造過程中,用於加工晶元之間互連金屬線所採用的電化學金屬沉積。隨著集成電路製造工藝的不斷發展,目前電鍍已經不限於銅線的沉積,還涉及錫、錫銀合金、鎳等金屬的沉 積,但金屬銅的沉積仍是其中最主要的部分。

電鍍原理

資料來源:盛美半導體《集成電路專用設備-濕法設備》

電鍍設備主要的生產商包括 Lam Research、AMAT 以及 TEL。其中,Lam Research 在前道的鑲嵌式技術電鍍銅設備中佔據 90%以上的市場份額,日本的東京電子在先進封裝領域約佔據 50%市場。盛美半導體設備已經掌握了電鍍機的核心專利技術,包括多圓環陽極技術和兆聲波輔助電鍍技術等, 自主開發了Utra ECP 系列電鍍機。

晶圓製造設備——工藝檢測設備

工藝檢測設備是應用於工藝過程中的測量類設備和缺陷(含顆粒)檢查類設備的統稱。集成電路晶元製造工藝流程中在線使用的工藝檢測設備種類繁多, 應用於前段晶元製造工藝的主要檢測設備分為:圓片表面的顆粒和殘留異物檢查;薄膜材料的厚度和物理常數的測量;圓片在製造過程中關鍵尺寸(CD) 和形貌結構的參數測量;套刻對準的偏差測量。

隨著晶元結構的不斷細微化和工藝的不斷複雜化,工藝檢測設備在先進的前段生產線中起著越來越重要的作用。目前工藝檢測設備投資占整個前端工藝設備總投資的 10%~15%。

工藝檢測設備的供應商主要有科磊半導體、應用材料、日立高新等,國內廠商主要有上海睿勵科學儀器和深圳中科飛測科技。


封裝測試設備

根據 SEMI 數據,2017 年全球封裝測試設備市場高速增長 27.89%,銷售額達到 83.1 億美元。2017 年中國大陸半導體封裝測試設備與封裝模具市場增長了18.6%,達到 206.1 億元,約為 30.53 億美元(按統計局 2017 年度平均匯率計笲:1 美元=6.75 元),其中封裝設備市場 14 億美元,測試設備與封裝模具市場為 16.53 億美元。2017 年國內半導體設備市場規模為 82.3 億美元,封裝測試設備佔比超過 1/3,達到 37.1%。

全球半導體封測設備市場規模及增速

資料來源:SEMI

國內半導體封測設備市場規模及增速

資料來源:SEMI

封裝設備

封裝和組裝可分為四級,即晶元級封裝(0 級封裝)、元器件級封裝(1 級封裝)、板卡級組裝(2 級封裝)和整機組裝(3 級封裝)。在 0 級封裝階段,為了實現圓片的測試、減薄、劃切工藝,與之對應的主要封裝設備有圓片探針台、圓片減薄機、砂輪和激光切割機等。在 1 級封裝階段,為了實現晶元的互連與封裝工藝,與之對應的主要封裝設備有黏片機、引線鍵合機、晶元倒裝機、塑封機、切筋成型機、引線電鍍機和激光打標機等。在此階段,為了實現圓片級晶元尺寸封裝(WLCSP)工藝,相應的主要封裝設備還有植球機、圓片凸點製造設備、圓片級封裝的金屬沉積設備及光刻設備等。在 2 級封裝階段,為了實現 PCB 組裝工藝,與之對應的主要封裝設備有焊膏塗覆設備、絲網印刷機、點膠機、貼片機、迴流爐、波峰焊機、清洗機自動光學檢測設備等。

集成電路所有的關鍵參數,所以花費的時間較長,但對於保證產品質量卻能起到關鍵作用。為加快集中檢測電學參數的速度,降低集成電路的測試成本, 半導體產業界開發了相關的自動測試設備(ATE)。利用計算機控制, ATE 能夠完成對集成電路的自動測試。

ATE 價格昂貴,對測試環境要求苛刻,所以要求有高標準的測試場地,同時還要保證多台 ATE 並行運行,以保證測試的速度和效率。對於每種集成電路都要開發專門的ATE 測試程序,以保證測試自動進行。

近年來,測試設備商經過不斷整合,形成了以日本愛德萬測試(ADVANTEST) 和美國泰瑞達(TERADYNE)兩大公司,其產品約佔全球半導體企業測試設備市場份額的 80%以上。國內測試設備廠商有長川科技、華峰測控、廣立微等。

通過上文對全球設備龍頭的梳理,我們發現:每大類設備市場中,最終都形成了寡頭競爭的格局,前三名廠商佔據了絕大部分的市場份額,呈現強者恆強大者恆大的特點。

——— END ———


喜歡這篇文章嗎?立刻分享出去讓更多人知道吧!

本站內容充實豐富,博大精深,小編精選每日熱門資訊,隨時更新,點擊「搶先收到最新資訊」瀏覽吧!


請您繼續閱讀更多來自 芯師爺 的精彩文章:

主流晶元製造廠工藝水平如何,這篇分析最全!
對話華大半導體蔡振宇:中國集成電路自主之路如何走?

TAG:芯師爺 |