當前位置:
首頁 > 科技 > 英特爾談「小晶元」革命與未來應用方向

英特爾談「小晶元」革命與未來應用方向

Ramune Nagisetty正著手幫助英特爾在以晶元為中心的新興行業生態系統當中開拓自己的席位。

英特爾談「小晶元」革命與未來應用方向

打開今日頭條,查看更多圖片

所謂「小晶元(chiplets)」,是指一種系統製造方法,其在整體功能性方面與整體大型晶元並無區別,但實際上卻是由多個較小的晶元共同組成。儘管傳統的摩爾定律提升空間已經基本耗盡,但憑藉著小晶元這一天才般的創意,計算行業目前仍然能夠在一定程度上維持系統的性能改進能力。

支持者們表示,小晶元的普及代表著系統的專用化門檻將有所降低,而產品產量也能夠隨之提高。而更重要的是,小晶元亦有可能給無代工半導體行業帶來重大轉變,其最終目標產品可能體現為一種小型專用晶元,負責將通用型處理器與其它眾多專用晶元組合在一起。Ramune Nagisetty是英特爾公司俄勒岡州技術開發部門的首席工程師兼流程與產品集成總監,她一直致力於建立一個範圍可達完整行業級別的晶元生態系統。在2019年3月21日接受IEEE Spectrum採訪時,她與我們共同就這一願景以及英特爾公司的技術狀況進行了探討。

Ramune Nagisetty在此次採訪中談到:

  • 小晶元是什麼
  • 英特爾的EMIB解決方案
  • 集成工程難題
  • 新的測試技術與標準需求
  • 小晶元領域的無代工初創企業

IEEE Spectrum(以下簡稱記者):您能否對小晶元做出定義,並聊聊小晶元為什麼如此重要?

Ramune Nagisetty:小晶元屬於一塊物理矽片。其中封裝有一套IP(知識產權)子系統。它的設計目標,在於通過封裝級集成方式與其它小晶元相結合,而且一般通過高級封裝集成與標準化介面供用戶實際使用。

小晶元為什麼變得越來越重要?這是因為時至今日,已經不存在那種百試百靈的通用型解決方案。我們在不同類型的計算與工作負載層面實現了爆炸式的增長,因此出現了眾多不同的架構以支持這些不同類型的計算模型。從本質上講,一流技術的異構集成正是摩爾定律未來的全新起效形式。

記者: 說起異構技術,您所指的是是否還包括除硅材料之外的其它半導體材料?

Nagisetty:我想說的是,未來的半導體材料不一定只有硅,也應該包含其它類型的半導體製造技術。例如,大家可以使用鍺技術,簡稱III-V。在未來,我們將擁有更多半導體技術類型可供選擇。但著眼於當下,半導體材料主要指的仍然是硅。

更重要的是,即使只著眼於硅基晶元,這些晶元也肯定會發展出不同的技術節點。它們通常會針對不同領域進行性能調整——具體包括數字、模擬、RF以及內存技術等等。

其中一大核心驅動力,當然是內存的整合。高帶寬存儲器(簡稱HBM)在本質上正是異構晶元封裝內集成方法的重要、亦是首批證明案例之一。內存在本質上就屬於一種異構集成,其憑藉著先進的封裝機製為我們帶來出色的使用體驗。

記者:英特爾連接晶元組的方法被命名為嵌入式多晶元互連橋。您能否向我們解釋一下,這個概念的定義是什麼,又是如何起效的?

Nagisetty:大家可以將其視為一個用於將兩塊小晶元連接在一起的高密度橋接器,這實際上也是我能想到的最準確的描述方式。我想,很多朋友應該都熟悉利用硅中介層作為先進封裝基板的作法。(註:硅中介層是一種硅襯底,其擁有密集的互連與內置硅通孔,用於實現不同晶元之間的高帶寬連接。)

英特爾談「小晶元」革命與未來應用方向

圖片:IntelAn EMIB上的晶元(電路)連接以高密度互連方式進行整體封裝。將晶元連接至EMIB的連接凸塊要比圖左下方的普通凸塊擁有更小的彼此間隙。

在本質上講,EMIB實際上就是一個體積極小的硅中介層,其中包含密度極高的互連體系,我們將其稱之微凸塊,且密度遠高於標準封裝基板的密度。(微凸塊是一種微小的焊球,是能夠將晶元接入另一塊晶元或者封裝的高密度互連機制。)

EMIB或者橋接,一般會被嵌入至標準封裝基板當中。利用EMIB,大家即可在必要的位置輕鬆獲得最高的互連密度,並在其餘位置利用標準封裝基板滿足其它普通互連需求。

這種作法能夠帶來諸多優勢。其中最顯著的一點自然是成本,因為硅中介層的成本與該中介層的面積成正比。因此在使用EMIB的情況下,我們只需要在需要高密度互連的位置添加橋接即可滿足需求,而不存在額外浪費。此外,這種作法也能夠降低由材料自身特徵所帶來的信號衰減——標準封裝基板能夠更好地傳遞信號,硅中介層則會嚴重影響信號傳輸。

記者: 目前英特爾公司使用的EMIB是如何實現的?

Nagisetty:英特爾公司實際上掌握著數種演示性晶元解決方案,這裡正好藉機會對其一一加以說明,我想這也有助於我們接下來進一步探討未來晶元所要遵循的三條不同發展路線。

英特爾公司目前擁有兩套基於EMIB的解決方案,而且二者之間存在著相當顯著的差別。首先是Kaby Lake-G,我們基本上將AMD Radeon GPU與高帶寬內存(HBM)與我們的CPU晶元集成在一起。我們利用EMIB實現GPU與HBM的橋接,並在封裝內提供HBM介面。在此之後,我們利用封裝內部的PCI Express——這是一種標準的電路板級介面,專門用於集成GPU與CPU。

該解決方案的真正有趣之處,在於我們正在嘗試利用來自多家代工廠的外部開發晶元。我們希望使用HBM與PCI Express這些通用性質的行業標準介面打造一流產品。在這種情況下,我們採用一個能夠獨立在電路板上運行的組件(帶有HBM的GPU),並將其集成在統一的封裝之內。其中PCI Express負責發送長距離信號,也就是處理典型的電路板運行需求。雖然立足封裝內部來考慮,PCI Express並不一定是最佳解決方案,但卻是一種快速便捷的解決方案,因為我們能夠藉此利用業已在行業中廣泛存在的介面。

記者: 這種集成方法能夠為英特爾的晶元產品帶來哪些助益?

Nagisetty:在這種情況下,我們得以實現外形尺寸方面的巨大改進。在移動使用場景下,外形尺寸對於筆記本電腦的設計至關重要。從本質講,設計師必須得在外形、功耗與性能這幾項指標之間做出取捨。因此,只要我們能夠儘可能縮小外形尺寸,就可以實現真正的優化,即以儘可能小的尺寸提供同類最佳的解決方案。

記者: 那麼,關於另一種小晶元設計方案,您又做何評價呢?

Nagisetty:接下來我要談的是Stratix 10 FPGA,這實際上也是英特爾公司首款正式展示的EMIB解決方案。Stratix 10的核心是英特爾FPGA,其中在FPGA周邊部署有六個小晶元。其中四個為高速收發器小晶元,另外兩個則是高帶寬內存小晶元,它們全部部署在同一封裝之內。此示例集成有來自三家不同代工廠的六種不同技術節點;也正因為如此,它才進一步證明了不同代工廠的產品之間完全能夠實現互操作性。

英特爾談「小晶元」革命與未來應用方向

英特爾Stratix 10是晶元巨頭利用EMIB連接封裝內各小晶元的主要示例方案。

另外值得一提的是,它採用了一種被稱為AIB的行業標準型晶片到晶片介面,全稱則為英特爾高級介面匯流排(Intel"s Advanced Interface Bus)。這種介面專門為Stratix 10產品而打造,實際上代表著我們為封裝內高帶寬、邏輯到邏輯互連方案制定的行業標準雛形。因此,HBM可以說是內存集成領域的首個標準,而AIB則是邏輯集成層面的首個標準。

AIB這種介面能夠配合英特爾EMIB解決方案與硅插入器等其它競爭性解決方案共同使用。需要強調的是,該介面的核心優勢在於其生態系統內以FPGA為中心進行混合搭配的實現方法。目前,眾多不同的企業與高校正在DARPA CHIPS(全稱為通用型異構整合與IP復用策略)計劃的贊助下努力利用AIB創建更多小晶元設計方案。

記者: 第三個案例又是什麼?

Nagisetty:我要談的第三個案例是英特爾的Foveros解決方案,也就是我們的logic-on-logic晶片堆棧。我們在去年12月首次談到這一解決方案,隨後又以Lakefield的名稱在今年1月的CES大會上公布實際產品。這套小晶元集成方案的差異,在於其採用的並非水平堆疊,而是垂直堆疊方法。

這種集成方式,允許用戶在兩個小晶元之間獲得極高的傳輸帶寬。然而,其基於內部專有介面,兩個晶元也基本上需要共同設計——因為二者必須統一進行基板規劃,以便管理供電及散熱等具體運行問題。

對於logic-on-logic晶片堆棧而言,由於這些晶元在本質上是共同設計完成的,因此相關行業標準的出台可能需要更長的時間。邏輯之上的存儲器堆棧有望成為3D堆疊行業標準的重要誕生點。

記者: 在設計這類堆疊型晶元時,您主要需要關注哪些具體事項?

Nagisetty:發熱問題是其中最為關鍵的挑戰。可以想像,堆疊設計會大大加劇任何原有散熱問題的嚴重程度。因此,我們需要認真規劃基板以適應新方案的發熱點位置。此外,我們還需要考量整體系統架構。這意味著3D堆疊這一概念不僅存在於物理架構當中,而是涵蓋整個CPU或GPU與系統架構,且始終作為架構決策的核心驅動因素。此外,如果我們希望實現兼容任意類型的互操作性,那麼我們自然也需要構建起具有互操作性的材料系統。為了支持這種互操作性,我們需要解決一系列具體難題,但我認為散熱是其中最核心的挑戰;當然,電力供應與電源管理的難度同樣不容小覷。

記者: 要推進小晶元設計方案的發展,還需要哪些其它標準?

Nagisetty:與測試相關的行業標準當然非常重要。一般來講,我們會使用全封裝部件進行測試。我們需要能夠將「已知運行良好的晶元」(也就是我們能夠確保正常運行的晶元)添加至封裝之內,這樣我們就不致因為單一晶元的損壞而浪費掉其它工藝良好的小晶元,進而承擔產量下降的問題。換言之,我們需要建立一套以已知良好為基礎的模具策略,並建立起與之匹配的測試流程。

除此之外,我們還需要確保多供應商產品之間的電源與發熱管理支持體系。這意味著各供應商都能夠接入全部集成小晶元,以便實現電源與散熱問題的各自管理。

再有就是電氣操作性,作為我們在去年7月首次發布的介面,AIB實際上還只達到了我們所謂的PHY級別,即電氣與物理介面級別。很明顯,我們還需要進一步通過上層協議提供實施標準。

而最後一點,相信很多朋友也能猜到,就是機械層面的標準——具體來講,就是確保各微凸塊的放置與不同微凸塊間路由實現互操作性的支持標準。

英特爾談「小晶元」革命與未來應用方向

Intel公司Ramune Nagisetty

記者: 您能否給我們講講「已知良好晶片」的問題,它的測試與小晶元的測試有什麼不同之處嗎?

Nagisetty:這個嘛,二者其實真的不太一樣,因為對「已知良好晶片」的保障主要集中在對於封裝部件的熱測試。而在小晶元方面,則要求我們在封裝之前對裸晶片進行基礎測試——即確保各小晶元都擁有相同的功能水平。更具體地講,封裝部件的測試更容易,供電傳輸機制的設計難度也更低。而當我們面對的是裸晶片(也就是尚未進行封裝的晶元),測試人員往往很難達到同樣的探測級別。換言之,我們需要設置額外的精細衝擊墊才能設置檢測探針。

還有一點需要強調,即對獨立晶元進行測試所需要的一切——包括時鐘以及能夠對每一塊小晶元進行完整測試的全部因素——都必須被設計到晶元之內。小晶元的自身測試不可依賴於其它小晶元,它們必須在封裝之前各自經歷完全獨立的測試。

我們正在努力進行這方面的探索,其重要意義我相信也無需多言。大家可以想像,當面對一個包含多個小晶元的封裝部件時,該部件中統一包含有多種價值。而一旦這些小晶元中的任意一個發生損壞且處於非冗餘或不可修復狀態,那麼就相當於我們必須得因此放棄掉其它仍然能夠正常運作的小晶元——或者說它們所代表的價值。記者: 小晶元的優勢當中,包不包括帶來更好的產能表現?畢竟它們物理體積更小,所以出錯幾率也更低,是這樣嗎?

Nagisetty:小晶元確實能夠實現可觀的產量提升,這是英特爾公司選擇這一發展方向的原因之一,但絕非唯一的原因。目前。產量能否真正提高,主要取決於我們能夠在實施封裝之前對這些晶片進行測試。

記者: 那麼,小晶元會給處理器的設計方式帶來怎樣的改變?

Nagisetty:高帶寬內存集成無疑是最重要的證據之一,其已經被廣泛應用於GPU以及高性能系統中的AI處理器。在這種情況下,晶元與存儲器的封裝集成已經開始給晶元的設計與集成方法帶來改變。

小晶元的協同設計必然會成為一個重要的發展領域。現在我真正關注的是,未來將有多家供應商負責為英特爾提供晶元。那麼,其中的結構性邊界在哪裡?我們如何根據我們所能掌握的有限構建單元打造出行業領先的產品?我認為,我們目前尚處在這場革命的起步階段,而未來將有一個嶄新的行業生態系統以此為基礎蓬勃發展。

理解不同小晶元供應商的實際需求,並以此為基礎實現跨邊界通信將變得非常重要。另外,模擬工具與方法也將成為我們突破傳統邊界的重要助力。因此,是的,它將改變我們對晶元或者封裝部件的設計方式,也必然會隨著時間的推移改變整個半導體生態系統的發展態勢。

記者: 您能不能詳細講講這一新興生態系統呢?如果您在接下來的十年之內領導一家無代工初創企業,那麼您的業務將呈現出怎樣的面貌?小晶元革命會對這些企業的運營方式帶來怎樣的改變?

Nagisetty:在我看來,這一切對於無代工初創企業而言絕對是個激動人心的時刻,因為我們將有可能創建一個更小的IP子系統,並通過小晶元集成方法帶來巨大的實際價值。

DARPA CHIPS計劃的核心目標之一,就是從根本層面支持IP(知識產權)復用,並降低生產產品的整體非經常性工程成本。小晶元方法使得無代工初創企業能夠專註於他們最為擅長的IP部分業務,而不必再為其它繁瑣而沉重的負擔分神。

我認為小晶元方案將成為無代工初創企業的發展助力,這也是DARPA在其贊助計劃當中希望達成的目標之一。事實上,DARPA目前正在全面啟動ERI,全稱為電子復興計劃。之所以全面介入,是因為DARPA意識到近年來原本領先的美國半導體技術在發展層面出現了萎縮,小型公司在這一市場上的創新能力受到影響。相關計劃的出台,將為更多創新活動開闢道路,而無代工初創企業將成為其中最重要的受益群體。我認為這代表著未來的創新平台,並將給整個半導體行業產生深遠的影響。正因為如此,我才將此視為半導體發展歷史上一段極為激動人心的時刻。接下來,我們將親眼見證眾多變化,而且有望抓住其中的寶貴機遇實現新一輪快速發展。

記者: 在初創企業與小型公司能夠真正參與這一生態系統之前,您認為小晶元將呈現出怎樣的發展速度?

Nagisetty:最近的不少事件都從側面給出了答案。比如剛剛建立的ODSA行業論壇,其全稱為開放特定領域架構(Open Domain-Specific Architectures)計劃。其正在成為開放計算項目這一行業協作體系中的重要組成部分。最近,我們還看到計算快速鏈接(簡稱CXL)倡議的出現,旨在為加速器提供更為統一的介面標準。

就目前來看,半導體行業正在以加速器與封裝集成為出發點支持並建立起新的生態系統,而相關措施的推進速度也相當驚人。我真的很難準確地預測未來,並告訴您這具體需要多長時間。但我個人猜測,時間也許不會太長,有可能只是幾年。畢竟在某些層面中,我們已經看到了這一切逐步轉化為現實的早期證據。

記者: 小晶元最終是否需要將某種智能方法集成至基板或者封裝當中?

Nagisetty:這個嘛,我認為我們可以靜觀整個發展態勢。我認為這確實屬於一項架構決策,其中也存在著各種各樣的可能性。當談到將智能方法引入基板時,我們可以採用將一種智能方法堆疊在另一種之上的基板設計方案,這純粹就是一種互連設計。此外,也可能會出現分層結構,甚至是一種混合類型的基板,即將某種新的智能成果與相對較老的技術節點結合起來。總而言之,具體實現方法需要針對不同目標進行針對性優化。

記者: 那麼,英特爾公司接下來打算如何推動晶元技術的發展?

Nagisetty:哈哈,我能夠透露的只是,我們已經在市場上進行了一定的展示,其基本涵蓋了我們接下來打算構建的幾乎所有產品用例。在這方面,我們擁有多種具體集成方案,而且也才剛剛朝著這個方向前進。但可以肯定的是,我們已經將這些技術納入發展計劃當中,而且必將有能力在未來的幾代處理器方案當中不斷取得進步。

喜歡這篇文章嗎?立刻分享出去讓更多人知道吧!

本站內容充實豐富,博大精深,小編精選每日熱門資訊,隨時更新,點擊「搶先收到最新資訊」瀏覽吧!


請您繼續閱讀更多來自 至頂網 的精彩文章:

速度和容量融合!英特爾推出傲騰混合式固態盤!
攜手Rackspace帝普專業服務 企業的雲上轉型之路更平坦

TAG:至頂網 |